11.30

Wisata banten dan jawa barat

Tulisan ini berisi daftar tempat wisata yang ada di banten dan jawa barat nyang ira suka. (*like this by ira)

Daftar tempat-tempat yang pengen di kunjungi:
1. Pelabuhan ratu

Tahun 2009 ira ke pelabuhan ratu untuk pertama kalinya. Ira sekeluarga naik panther dari tangerang tapi rutenya ga lewat sukabumi.

Berikut detailnya:

Dari SERPONG ke RUMPIN . Lanjut ke JASINGA lalu SAJIRA berikutnya RANGKAS BITUNG next MALINGPING perjalanan berlanjut ke BAYAH selanjutnya CISOLOK terakhir baru deh PELABUHAN RATU.



Akhirnya sampai juga. Total waktu tempuh 14 jam. Dan semua anggota rombongan bersorak-sorak bergembira. Betapa tidak, begitu sampai disana, kondisi kamui sudah sangat mengenaskan. Pantat pegel naudzubillah, cemilan udah pada abis, bosen, mual, semua jadi satu. Tapi semua itu ga sia-sia kok. Selama perjalanan banyak sekali pemandangan indah di kiri-kanan jalan.

Ada perkebunan kelapa sawit yang rimbun, perkebunan karet yang sejuk, hutan-hutan, sungai, pantai, jembatan kereta api (*kata uqi), dan masih banyak lagi. Semuanya berasa seperti jejak petualang, huehuehue..

Catatan: Jalanan yang dilalui dari Jasinga (*kalo ga salah) sampai last destination itu sempit. Cuma muat 2 mobil. Di beberapa titik ada jalanan yang rusak (*parah) sampai-sampai jalannya pelan takut kepeleset (*ada lumpurnya). Jadi kalau mau ke sana disarankan tidak memakai mobil sedan karena bakal kesusahan nantinya.

Pengalaman ke pelabuhan ratu bener2 berkesan. Pantainya masih alami dibanding pantai pangandaran. Pengunjungnya juga dikit. Akses ke sana rada susah karena harus melalui jalan yang berkelok-kelok dan tanjakan yang teramat dahsyat.

Kalau ada rejeki dan kesempatan, ira pengen ke sana lagi, naik motor (tapi dibonceng, :P...). Jangan lupa bawa tenda sama samak biar tidurnya ga usah nyewa2 kamar dan perabotan masak tentunya.

2. Pantai Baron di jogja,




Pantai Baron terletak di selatan Yogyakarta (*lha iya to masa di utara). Pas hari minggu(*kalo ga salah) ira kesana, pengunjungnya itu buaanyak tenan. Tempatnya masih asri lho, sepanjang perjalanan di kiri-kanan jalan kita disuguhi pemandangan hutan yang eksotis.

Pantai baron terletak di suatu kawasan wisata pantai. Sebenernya kawasan itu ada beberapa pantai yang bisa dikunjungi. Di salah satu pantai (*lupa namanya) kita bisa nangkep ikan-ikan laut dan bisa dibawa pulang tentunya. Jadi kesimpulannya kalau mau main ke sini jangan lupa bawa jaring sama toples. Oke-oke.

3. Situ Patenggang



Situ Patenggang berada di ujung jalan ciwidey. Di daerah situ sebenernya ada banyak tempat yang bisa dikunjungi. Jadi sayang kalau ke sana cuma ke situ patenggang aja. Untuk memanfaatkan waktu dan keempatan, berikut ada beberapa tips yang bisa diikuti.

Pergi ke ciwidey enaknya pagi-pagi. Begitu bangun, solat, langsung cabut. Ga usah mandi (*pede mode on).

Next destination, pemandian air panas. Di ciwidey ada 2 tempat pemandian air panas, yaitu cimanggu dan walini. Nah disini nih cerita dimulai. G perlu pake ba bi bu bu ka baju pokoknya langsung nyebur ke kolam. Brrrrr... mantaps (*kata sekar).

Dari situ, perjalanan lanjut ke situ patenggang. Disana acara makan siang. Ngampar samak dan buka rantang. Kita makan.

Selanjutnya, pulang. Sebelum pulang mampir dulu ke kawah putih. Bisa juga ke kebun stroberi kalau masih sempet.

Selesai.

4. Ujung Kulon

To be ocntinued..

19.52

Gamma - Lost in Love

Video Gamma Band - Lost in Love

Lost in Love

Gamma | MySpace Music Videos

10.32

Summarize

Some people in northern country suffer Seasonal Affective Disorder (SAD) in winter. Sufferers are getting more depressed as the day become shorter. This condition happens to Janet Blake who works as public relation officer. While night became longer, she was very stressful and involved making speeches and appearing on television and radio. Dr. Hamish MacRae, who has made a special study of SAD, treats her by installing a special lightbox in Janet's house which simulates sunlight. This lightbox can help to keep behaviour of SAD sufferers in balance.

05.42

Makalah Kasus Bank Century

BAB II
PEMBAHASAN

A. Latar Belakang Kasus Bank Century

Secara kronologi kasus Bank Century dimulai dengan tahun 1989 oleh Robert Tantular yang mendirikan Bank Century Intervest Corporation (Bank CIC). Tahun 1999 pada bulan Maret Bank CIC melakukan penawaran umum terbatas pertama dan Robert Tantular dinyatakan tidak lolos uji kelayakan dan kepatutan oleh Bank Indonesia.

Pada tahun 2002 Auditor Bank Indonesia menemukan rasio modal Bank CIC amblas hingga minus 83,06% dan CIC kekurangan modal sebesar Rp 2,67 triliun. Tahun 2003 bulan Maret bank CIC melakukan penawaran umum terbatas ketiga.

Bulan Juni Bank CIC melakukan penawaran umum terbatas keempat. Pada tahun 2003 pun bank CIC diketahui terdapat masalah yang diindikasikan dengan adanya surat-surat berharga valuta asing sekitar Rp 2 triliun yang tidak memiliki peringkat, berjangka panjang, berbunga rendah, dan sulit dijual.

BI menyarankan merger untuk mengatasi ketidakberesan pada bank ini. Tahun 2004, 22 Oktober dileburlah Bank Danpac dan Bank Picco ke Bank CIC. Setelah penggabungan nama tiga bank itu menjadi PT Bank Century Tbk, dan Bank Century memiliki 25 kantor cabang, 31 kantor cabang pembantu, 7 kantor kas, dan 9 ATM. Tahun 2005 pada bulan Juni Budi Sampoerna menjadi salah satu nasabah terbesar Bank Century Cabang Kertajaya Surabaya.

Tahun 2008, Bank Century mengalami kesulitan likuiditas karena beberapa nasabah besar Bank Century menarik dananya seperti Budi Sampoerna akan menarik uangnya yang mencapai Rp 2 triliun. Sedangkan dana yang ada di bank tidak ada sehingga tidak mampu mengembalikan uang nasabah dan tanggal 30 Oktober dan 3 November sebanyak US$ 56 juta surat-surat berharga valuta asing jatuh tempo dan gagal bayar.

Keadaan ini diperparah pada tanggal 17 November Antaboga Delta Sekuritas yang dimiliki Robert Tantular mulai tak sanggup membayar kewajiban atas produk discreationary fund yang dijual Bank Century sejak akhir 2007.

Pada 20 November 2008, BI melalui Rapat Dewan Gubernur menetapkan Bank Century sebagai bank gagal berdampak sistemik. Keputusan itu kemudian disampaikan kepada Menteri Keuangan Sri Mulyani selaku Ketua Komite Stabilitas Sistem Keuangan (KSSK). Kemudian KSSK mengadakan rapat pada 21 November 2008.

Berdasarkan audit BPK, rapat tertutup itu dihadiri oleh Menteri Keuangan Sri Mulyani sebagai ketua KSSK, Raden Pardede selaku Sekretaris KSSK, Ketua Unit Kerja Presiden untuk Pengelolaan Program Reformasi (UKP3R) Marsilam Simanjuntak, dan Gubernur BI Boediono sebagai anggota KSSK.

Rapat itu kemudian ditindaklanjuti dengan rapat Komite Koordinasi yang dihadiri oleh Ketua KSSK, Gubernur BI, dan Dewan Komisioner Lempaga Penjamin Simpanan (LPS). Peserta rapat sepakat menyatakan Bank Century sebagai bank gagal berdampak sistemik dan menerima aliran dana penanganan Bank Century melalui LPS.

Saat rapat Komite Stabilitas Sistem Keuangan (KSSK) yang dipimpin oleh Menteri Keuangan Sri Mulyani untuk memutuskan nasib Bank Century, Marsilam masih menjabat sebagai Ketua UKP3R. Akan tetapi keikutsertaanya dalam kapasitas sebagai penasihat Menteri Keuangan RI dan seagai narasumber.

Dari rapat tersebut diputuskan menyuntikkan dana ke Bank Century sebesar Rp 632 miliar untuk menambah modal sehingga dapat menaikkan CAR menjadi 8%. Enam hari dari pengambilalihan LPS mengucurkan dana Rp 2,776 triliun pada Bank Century untuk menambah CAR menjadi 10%. Karena permasalahan tak kunjung selesai Bank Century mulai menghadapi tuntutan ribuan investor Antaboga atas penggelapan dana investasi senilai Rp 1,38 triliun yang mengalir ke Robert Tantular.

Pada 5 Desember 2008 LPS menyuntikkan dana kembali sebesar Rp 2,2 triliun untuk memenuhi tingkat kesehatan bank. Akhir bulan Desember 2008 Bank Century mencatat kerugian sebesar Rp 7,8 triliun.

Bank yang tampak mendapat perlakuan istimewa dari Bank Indonesia ini masih tetap diberikan kucuran dana sebesar Rp 1,55 triliun pada tanggal 3 Februari 2009. Padahal bank ini terbukti lumpuh.

Pada Bulan Juni 2009 Bank Century mencairkan dana yang telah diselewengkan Robert sebesar Rp 180 miliar pada Budi Sampoerna. Namun, dibantah oleh Budi yang merasa tidak menerima sedikit pun uang dari Bank Century. Atas pernyataan itu LPS mengucurkan dana lagi kepada Bank Century sebesar Rp 630 miliar untuk menutupi CAR. Sehingga, total dana yang dikucurkan kepada Bank Century sebesar Rp 6,762 triliun.

B. Hasil audit BPK

Hasil audit interim BPK atas Century itu telah diserahkan kepada DPR pada 28 September 2008. Pada tanggal 30 September laporan awal audit BPK mengungkapkan bahwa banyak kejangggalan dalam masalah pengucuran dana pada Bank Century.

Pada akhirnya BPK menemukan 9 temuan dalam kasus Bank Century diantaranya



Selain itu, Komisi Pemberantasan Korupsi (KPK) menyatakan bisa menangani sebagian besar dari sembilan temuan Badan Pemeriksa Keuangan (BPK) dalam kasus Bank Century jika sesuai dengan kewenangan KPK dan ditemukan cukup bukti.

Satu-satunya temuan BPK yang tidak bisa ditangani KPK adalah temuan ketujuh, tentang penggunaan FPJP oleh manajemen Bank Century. Sementara enam temuan lain bisa ditangani KPK jika memenuhi ketentuan dalam Undang-Undang KPK.

KPK membagi temuan BPK dalam tiga periode. Pertama periode sebelum pengucuran FPJP. Tiga temuan BPK masuk dalam periode itu, yakni ketidaktegasan BI dalam menerapkan aturan akuisisi dan merger tiga bank menjadi Bank Century, ketidaktegasan pengawasan BI, dan praktik tidak sehat oleh pengurus Bank Century.

Kedua, setelah kucuran FPJP. Selain temuan ketujuh, temuan ketiga juga dimasukkan dalam periode ini. Temua ketiga berupa pemberian FPJP dengan mengubah ketentuan BI.
Ketiga, periode sejak ditangani LPS. Temuan BPK yang masuk periode ini penentuan Century sebagai bank gagal berdampak sistemik tidak didasarkan data mutakhir (temuan keempat), penanganan oleh LPS dilakukan melalui Komite Koordinasi yang belum dibentuk oleh undang-undang (temuan kelima).

Kemudian penanganan Bank Century oleh LPS tidak disertai perkiraan biaya penanganan sehingga terjadi penambahan (temuan keenam), pembayarankepada pihak ketiga selama Bank Century berada dalam pengawasan khusus (temuan ketujuh), dan penggelapan dana kas 18 juta dolar AS (temuan kedelapan).
Uang LPS yang dikucurkan adalah uang negara meski sudah dipisahkan. Pengertian pemisahan dana LPS adalah dipisahkan dari APBN. Dengan demikian, uang LPS sama statusnya dengan uang sejumlah Badan Usaha Milik Negara (BUMN) sebagai uang negara yang dpipisahkan dari APBN.

C. Panitia Khusus (Pansus) Century

Atas temuan BPK yang janggal tersebut DPR melakukan hak angket. Hak angket adalah hak anggota badan legislatif untuk mengadakan penyelidikan kembali.

Panitia Khusus Hak Angket yang dibentuk terdiri dari 139 anggota dari 8 fraksi, diketuai oleh Idrus Marham. Tujuan dari pansus ini adalah mengadakan penyelidikan selama 3 bulan kepada pihak-pihak yang bertanggung jawab dan yang berhubungan dengan bank Century dengan meminta kesaksian dari ihak-pihak tersebut.

1. Kesaksian Menteri Keuangan Sri Mulyani

Menteri Keuangan Sri Mulyani bertanggung jawab penuh atas keputusan penyelamatan Bank Century berdasarkan data awal nilai bailout dari BI sebesar Rp 632 miliar. Pada 13 November 2008, Sri Mulyani pernah membicarakan krisis keuangan global dan perbankan nasional kepada Presiden dan Wakil Presiden. Dalam pembicaraan tersebut diberitahukan bahwa keadaan bisa memburuk karena Bank Century kalah kliring. SBY mengatakan perlu ada langkah-langkahpencegahan, sementara JK tidak ingin ada penjamin penuh terhadap Bank Century.

Sri Mulyani telah melaporkan keputusan KSSK untuk memberikan dana talangan pada Bank Century kepada Presiden SBY dan Wakil Presiden JK melalui SMS. SMS tersebut ia kirimkan pada 21 November 2008 sekitar pukul 8.30 WIB. Komisi XI DPR, pada saat rapat kerja pada 3 Desember 2008, juga menyatakan perlunya penjamin penuh atas Bank century.

Selain itu, Sri Mulyani tidak puas atas berubah-ubahnya data yang diberikan BI terkait dana yang dibutuhkan untuk penalangan. Pada 21 November 2008, tiga hari data terus berubah hingga mencapai Rp 6,7 triliun.

Menurutnya, tidak ada kerugian negara yang ditimbulkan dari bailout ini. Masyarakat justru diuntungkan karena dana talangan mencegah Indonesia dari krisis ekonomi internasional saat itu. Bank kecil seperti Bank Century, tidak termasuk ke dalam 15 bank besar yang disebut Systematically Important Bank (SIP), juga bisa menimbulkan dampak sistemik dalam situasi krisis.

Krisis yang sudah terjadi di Indonesia bisa menjadi sistemik seperti 1998 lalu jika Bank Century tidak diselamatkan. Tanda-tandanya sudah ada. Semenjak 21 November 2008, penanganan Bank Century oleh Lembaa Penjamin Simpanan tak lagi menggunakan Perppu JPSK. Penanganan melalui bailout Rp 6,7 triliun tersebut berdasarkan UU LPS.

2. Kesaksian Mantan Gubernur BI Boediono

Boediono menyatakan, kehadiran Kepala Kerja Program Reformasi Marsilam Simanjuntak dalam rapat KSSK sebagai narasumber. Boediono tidak ingat secara pasti detail rapat KSSK. Pemberian dana talangan tidak wajib dilaporkan olehnya kepada Wakil Presiden.

Dana Yayasan Kesejahteraan Karyawan BI (YKKBI) di Century bukan alas an penyelamatan Bank Century. Berapa pun besarnya kerugian yang diderita BI untuk menyelamatkan Bank Century di waktu krisis tidak akan menjadi masalah, dibandingkan dengan harus menutup bank tersebut.
Mutasi mantan Direktur Pengawasan I Zainal Abidin pada bulan Desember 2008 bukan karena Zainal menentang perubahan aturan pemberian FPJP. Mutasi Zainal Abidin pada saat itu bertujuan untuk meningkatkan kerja.

Boediono tidak mengumumkan pada public soal gagal kliring yang dialami Bank Century, shingga menyebbakan bank tersebut rush. Definisi keuangan negara dalam LPS diserahkan pada ahli hokum tata negara dan ahli hokum keuangan negara.

3. Kesaksian Mantan Wakil Presiden Jusuf Kalla

Mantan Wakil Presiden M. Jsufu Kalla menyatakan krisis yang mengganggu perekonomian nasional hanya sebagai keadaan yang tidak biasa. Ada krisis, tetapi tidak signifikan. Pada tahun 2008 tidak ada kepanikan. Pada 1998, inflasi mencapai 75%, tetapi pada 2008 inflasi hanya 3%. Selain itu, suku bunga yang terjadi pada 1998 jauh lebih tinggi dibandingkan dengan suku bunga 2008. PPada 2008, kurs rupiah anjlok hingga Rp 12.000 per dolar AS. Namun anjloknya nilai tukar saat itu dianggap wajar. Sebab, aliran dana asing keluar dari Indonesia.

JK juga mengatakan bahwa Bank Century tidak mengalami rush atau kepanikan dengan penarikan dana besar-besaran. Menurut JK yang terjadi adalah Bank Century kalah kliring dan itu bukan disebabkan adanya rush. Bailout yang dikeluarkan untuk Bank Century berpotensi merugikan negara. Bank Century seharusnya tidak perlu diselamatkan karena dananya dirampok oleh pemilik bank itu sendiri, Robert Tantular.

Uang LPS masuk kategori uang negara. Hal ini disebabkan dalam Undang-Undang LPS, LPS bertanggung jawab kepada Presiden. Selain itu, JK menolak usulan Peraturan Pemerintah Pengganti Undang-Undang Nomor 4/2008, tentang Jaring Pengaman Sistem Keuangan atau Perppu JPSK. JK juga tidak menerima laporan via SMS dari Menteri Keuangan Sri Mulyani pada 21 November 2008. Laporan kebijakan melalui SMS adalah suatu tindakan yang tidak patut untuk kebijakan penting.

JK baru mengetahui adanya masalah Bank Century saat Sri Mulyani dan Gubernur BI Boediono melapor di Istana Wakil Presiden, Jakarta Pusat, 25 November 2008 empat hari setelah Bank Century diputuskan sebagai bank gagal berdampak sistemik. JK juga tidak pernah mengintervensi penangkapan mantan pemilik Bank Century oleh polisi, melainkan memerintahkan penangkapan itu.

4. Kesaksian Mantan Kabareskrm Komisaris Jenderal Susno Duadji

Mantan Kabareskrim Komisaris Jenderal Susno Duadji mengatakan Bank Indonesia pernah melaporkan pemilik Bank Century, Robert Tatular, ke Mabes Polri. Namun, laporan tersebut disampaikan setelah Robert Tantular ditangkap Mabes Polri atas perintah Wakil Presiden Jusuf Kalla. BI menyerahkan berkas-berkas laporannya itu dua hari setelah penangkapan Robert.

Susno Duadji mengakui bahwa Polri mendapat perintah penangkapan Robert Tantular dari Wakil Presiden Jusuf Kalla. Pada 25 November 2008 saat dirinya memberitahukan kepada BI untuk mennagkap pemilik Bank Century, petinggi BI menganggap bukti-buktinya belum cukup.
Oleh karena itu, meski Wakil Presiden Jusuf Kalla telah memerintahkan kapolri untuk menangkap Robert Tantular, baru setelah dua jam Kapolri bisa menangkapnya. Ketika itu ada kekhawatiran Robert kabur mengingat semua keluarganya sudah diungsikan ke luar negeri.

Menurut Susno, apa yang dilakukan Robert adalah murni perampokan. Uang nasabah yang dicuri lebih kurang Rp 1,298 triliun yang disembunyikan di sejumlah negara dan sebagian sudah dibekukan.

D. Sidang Paripurna DPR

Hasil akhir dari kerja pansus Century selama 3 bulan dibahas dalam sidang Paripurna DPR yang dilaksanakan tanggal 2 sampai 3 Maret 2010. Sidang Paripurna yang dilaksanakan 2 hari tersebut hanya membahas 2 opsi kesimpulan dan rekomendasi penyelidikan yang dihasilkan oleh Pansus Century.

Inti Opsi pertama (A) menyatakan pemberian Fasilitas Peminjaman Jangka Pendek (FPJP) dan Penyertaan Modal Sementara (PMS) tidak bermasalah karena dilakukan untuk mencegah krisis dan sudah berdasar peraturan perundang-undangan yang berlaku. Sedangkan opsi kedua (C), menyatakan baik pemberian FPJP maupun PMS bermasalah dan merupakan tindak pidana.

Posisi sikap fraksi 6 : 3 untuk yang menganggap bailout bermasalah (opsi C). Enam fraksi memilih opsi C. PKB, PD, dan PAN memilih opsi A.

Opsi A adalah posisi bagi mereka yang menganggap tidak ada penyalahgunaan wewenang. Layaknya hitam putih, opsi C adalah sebaliknya, fraksi yang menengarai penyalahgunaan wewenang memilih opsi ini
Dari 6 fraksi yang memilih opsi C, hanya empat yang akan menyebut nama.
Nama-nama yang disebut diletakkan di matrik di bawah poin ketiga kesimpulan akhir Pansus Century. Kesimpulan disusun per opsi (A/C) berikut poin-poin pandangan fraksinya.


DAFTAR PUSTAKA

2009, 24 November. Dana Rp 5,8 Triliun Diselewengkan. Pikiran rakyat [Surat Kabar], halaman 1.
2009, 25 November. Kasus Century bukan Karena Krisis, Murni Kriminal. Pikiran rakyat [Surat Kabar], halaman 22.
2009, 27 Desember. SBY tak Pernah Usut Marsilam. Pikiran rakyat [Surat Kabar], halaman 1.
2010, 4 Januari. Panggil Staf Khusus Presiden. Pikiran rakyat [Surat Kabar], halaman 8.
2010, 5 Januari. Rekomendasi Pansus Agar Objektif. Pikiran rakyat [Surat Kabar], halaman 2.
2010, 5 Januari. KPK Bisa Usut Kasus Besar Skandal Century. Pikiran rakyat [Surat Kabar], halaman 7.
2010, 6 Januari. KPK Akan Panggil Sri Mulyani. Pikiran rakyat [Surat Kabar], halaman 8.
2010, 6 Januari. Pengejaran Aset Century Terlambat. Pikiran rakyat [Surat Kabar], halaman 8.
2010, 6 Januari. Merger Tiga Bank Pilihan Dilematis. Pikiran rakyat [Surat Kabar], halaman 8.

Kaskus.us
detik.com
dll

11.04

FILARIASIS


Filariasis Limfatik atau yang biasa dikenal dengan kaki gajah adalah suatu penyakit yang disebabkan oleh 3 jenis cacing, yakni Wuchereria bancrofti, Brugia malayi, dan Brugia timori. Jika sudah masuk ke dalam tubuh manusia, cacing-cacing ini menempati saluran limfe. Cacing menyumbat pembuluh limfe dan menghalangi cairan limfe sehingga terjadi pembengkakan di kaki, tangan peyudara, atau buah zakar. Yang sudah parah, di kakinya bisa tumbuh lumut jamur. Ya lumut, tau kan lumut itu biasa timbuh dimana, betapa mengerikan filariasis ini sampai 2 lumut aja bisa tumbuh di kaki orang yang kena kaki gajah.

Ada beberapa level untuk filariasis dilihat dari tingkat akutnya. Level pertama bagian tubuh mulai terlihat bengkak, tetapi tidak ada lipatan dan kulit masih muluss. Level kedua bengkak tambah besar, ada lipatan tapi tidak terlalu dalam, kulit masih muluss. Level ketiga bengkak membesar, lipatan dalam dan kulit mulai kasar.

Misalkan kita sudah terkena filariasis katakan level 2, bisa disembuhkan. Akan tetapi cacat yang sudah ada itu akan menetap seumur hidup.





Wuchereria bancroftiBrugia malayi

Pada malam hari, cacing-cacing vektor filariasis keluar dari tempat persembunyian di dalam tubuh dan mulai menyebar masuk ke dalam darah. Cacing-cacing ini aktifnya di malam hari. Maka dari itu, pemeriksaan darah dilakukan malam hari, kalau siang hari tidak bisa dideteksi filariasisnya. Kalau merasa ada bagian tubuh misal bengkak atau benjol malem2 tapi pagi hari bengkak tau benjolnya hilang dan itu berulang terus, hati-hati, segera periksakan ke dokter, bisa jadi mengidap kaki gajah.
Filariasis tidak akan menular melalui kontak langsung dengan penderitanya, jangan salah kaprah. Penyakit ini hanya akan menular melalui perantara nyamuk-nyamuk nakal. Nyamuk yang menjadi vektor filariasis ada 20 species. Bila kita tinggal di wilayah yang sudah dinyatakan endemik, kita harus waspada karna sapa tahu dari 1000 nyamuk yang sudah seenak jidat menghisap darah kita, salah satunya membawa cacing penyebab filariasis.

Nyamuk mula-mula menggigit seorang individu yang terjangkit lalu menggigit individu lain yang belum terjangkit, memindahkan beberapa larva cacing kepada individu yang baru. Saat berada di dalam tubuh, larva bermigrasi ke bagian tubuh tertentu dan tumbuh menjadi cacing dewasa.

Oya, perlu di garis bawahi, tidak cukup hanya satu kali gigitan nyamuk untuk bisa menyebabkan seseorang positif mengidap filariasis. Masa inkubasi antara 6 - 12 bulan. Waktu yang cukup lama bukan?

Obat yang diberikan pemerintah yaitu DEC, albendazole, dan paracetamol. Diberikan 1 tahun sekali selama 5 kali dan harus kita minum, jangan sampai tidak. Jika kita tidak meminumnya, maka kita nanti yangakan menjadi sumber penularan bagi orang orang sekitar kita.

Dengan catatan : Orang yang sedang sakit parah, ibu hamil, ibu menyusui, anak usia kurang dari dua tahun, ibu hamil, ibu menyusui, pengidap gangguan fungsi hati, gangguan fungsi ginjal, TBC kronis, asma, darah tinggi lebih dari 200 mmhg (hipertensi berat), HIV AIDS, bronkopneumonia (flek), gizi buruk, demam lebih dari 38 derajat, kanker, lupus, diare, dan pasien dalam perawatan tidak boleh meminum obatnya takut bereaksi ke penyakit bawaannya.

Untuk obatnya sendiri nggak punya efek samping ke tubuh, sebenarnya. Rasa mual dll itu terjadi karena cacing2 yang ada di tubuh kita mati.

Sekilas info, obat cacing itu ada 2, yang membunuh cacing dan menghancurkannya lalu keluar bersama faeces, dan yang membuat cacingnya keluar hidup2.


Pencegahan, ga ribet la. Ingat 3M menguras bak ember , menutup tempat penampungan air dan mengubur barang bekas. Bisa juga dengan memasang kelambu pada tempat tidur atau memakai obat nyamuk atau lotion anti nyamuk.

10.53

Makalah VHDL

VHDL is a language for describing digital electronic systems. It arose out of the United States Government’s Very High Speed Integrated Circuits (VHSIC) program, initiated in 1980. In the course of this program, it became clear that there was a need for a standard language for describing the structure and function of integrated circuits (ICs). Hence the VHSIC Hardware Description Language (VHDL) was developed, and subsequently adopted as a standard by the Institute of Electrical and Electronic Engineers (IEEE) in the world. - Peter J. Ashenden


VHDL singkatan dari VHSIC Hardware Description Language dan merupakan sebuah bahasa pemrograman yang digunakan untuk mendefinisikan hardware elektronika digital. Pada pertengahan tahun 80’an, Departemen Pertahanan US dan IEEE mensponsori pengembangan bahasa pemrograman ini dengan tujuan untuk mengembangkan VHIC. Saat ini, VHDL telah menjadi salah satu bahasa standar industri elektronika yang digunakan untuk menggambarkan sistem digital.

Meskipun sepintas terlihat sama, ada beberapa hal yang membedakan VHDL dengan bahasa pemrograman lain pada umumnya. VHDL berhubungan dengan gerbang logika dimana setiap perintah dieksekusi secara parallel dengan segera saat adanya inputan. Sebuah program HDL meniru perilaku fisik, biasanya digital, sistem. Hal ini juga memungkinkan penggabungan spesifikasi waktu (gerbang penundaan) serta untuk menggambarkan sistem sebagai suatu interkoneksi dari berbagai komponen.


Teknologi VHDL ini terbagi berdasarkan jumlah gate yang ada, yaitu :
a. < 500 gerbang SPLD (Simple Programmable Logic Device)
b. 500 sampai 5000 gerbang CPLD (Complex Programmable Logic Device)
c. 5000 sampai 10.000 gerbang FPGA (Fast Programmable Gate Array) d. 10.000 sampai > 20.000 gerbang ASIC (Aplication Specific Intregrated Circuit)

VHDL terdiri dari beberapa elemen dasar, yaitu :
1. Identifier 4. Entity
2. Data Object 5. Architecture
3. Data Types 6. Package

1. Identifier

Dalam VHDL, Identifier memiliki beberapa karakter dengan aturan sebagai berikut :

1. Karakter yang diperbolehkan adalah huruf besar(A..Z), huruf kecil(a..z), huruf(0..9) dan garis
bawah(_).
2. Karakter pertama harus berupa huruf.
3. Karakter terakhir tidak diperbolehkan berupa garis bawah(_), penggunaan 2 karakter garis
bawah(_) juga tidak diperbolehkan.
4. Penggunaan huruf besar dan huruf kecil dianggap sama (tidak case sensitive).
5. Komentar dalam VHDL dimulai dengan karakter --.

2. Data Object

Terdapat 3 obyek data yaitu :

1. Konstanta

Obyek konstanta dapat menyimpan sebuah nilai yang didefiniskan saat deklarasi konstanta. Nilai yang sudah didefinisikan tersebut tidak dapat diubah selama proses desain.

Constant identifier[,identifier..]:type[:value];

2. Variabel

Obyek variabel dapat menyimpan sebuah nilai yang diberikan saat desain, dan nilai tersebut dapat dirubah kapanpun saat desain.

Variable identifier[,identifier..]:type[:value];

Syntax:
variable ctrl_bits: std_logic;

3. Signal

Obyek Signal bisa disamakan dengan variable, perbedaannya adalah bahwa signal dapat menyimpan ataupun melepaskan nilai logika, sedangkan variable tidak dapat, oleh karena itu, signal dapat diwujudkan dalam elemen memori.

Signal identifier[,identifier..]:type[:value];

Syntax:
signal con: std_logic;

3. Data Types


Ada 2 macam Data type yang digunakan berdasarkan standar IEEE, yaitu :

a. Berdasarkan standar IEEE 1076/93, yaitu : Boolean, Bit, Bit_vector dan Integer.
b. Berdasarkan standar IEEE 1164, yaitu Std Ulogic, std Logic dan std_Logic Vector.

4. Entity

Entity memberikan arti tentang bagaimana sebuah bagian rancangan dideskripsikan di VHDL dalam hubungannya dengan model VHDL lain dan juga memberikan nama untuk model tersebut. Di dalam entity juga diperbolehkan untuk mendefinisikan beberapa parameter yang mengambil model menggunakan hierarki. Kerangka dasar untuk sebuah entity digambarkan sebagai berikut :

entity is
….
entity ;

Syntax:
entity entity_name is
Port declaration;
end entity_name;

Sebuah deklarasi entity harus diawali dengan ‘entity’ dan diakhiri dengan kata ‘end’.

Sebuah cara atau metode untuk menghubungkan entity secara bersama adalah menggunakan PORTS. Sebuah port harus memiliki nama, arah/mode dan tipe. Hal ini didefinisikan bahwa entity menggunakan metode sebagai berikut:

port (
…list of port declarations…
);

Deklrasi port ini mendefinisikan jenis dari koneksi dan arah yang sesuai. Misalnya, deklarasi port untuk sebuah input bit adalah 1, maka digambarkan sebagai berikut :

in1 : in bit;

Dan jika model tersebut memiliki 2 input (in1 dan in2) dan satu output (out1), maka deklarasi ports dapat digambarkan berikut:

port (
in1, in2 : in bit;
out1 : out bit
);

Dengan mengunakan ports maka titik koneksi diantara entities akan berlangsung dengan efektif dalam hal proses koneksi entities satu sama lain. Selain itu, dengan menggunakan ports akan menjadikan sinyal yang ada menjadi efektif serta cocok digunakan dalam model VHDL.

5. Architecture

Architecture Body dalam sebuah entity berfungsi untuk mendeskripsikan apa yang akan dilakukan atau proses apa yang akan sikerjakan oleh perangkat keras yang didesain.

ARCHTECTURE architecture-name OF entity-name IS
[type-declarations]
[signal-declarations]
[constant-declarations]
BEGIN
[architecture definition]
END architecture-name;

Dalam architecture body ada beberapa jenis, antara lain :

a. Structural description
Perencanaan didasarkan pada pemakaian komponen (logical gate) pada library dan hubungan antar componen-componen tersebut (a set of interconected component).

Structural description meliputi :
· Signal declaration.
· Component instances
· Port maps.
· Wait statements.

Component declaration:
Syntax:
component component_name [is]
List_of_interface ports;
end component component_name;


b. Data flow description

Perencanaan berdasarkan pada proses data transfer ( dari signal atau dari input ke output tanpa statement sequential ) yang merupakan sekumpulan dari concurent assignment statement. Perbedaan utama antara data flow dengan behavioral adalah yang satu menggunakan proses yang lain tidak.

Berikut ini adalah coding half adder yang mempunyai 1 XOR gate dan sebuahAND gate.

Library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity ha_en is
port (A,B:in bit;S,C:out bit);
end ha_en;
architecture ha_ar of ha_en is
begin
S<=A xor B;
C<=A and B;
end ha_ar;

c. Behavioral description

Perencanaan didasarkan pada proses pengerjaan statement antar input dan output secara sequential/berurutan/step by step dengan menggunakan statement sequential (a set of sequential statement). Keuntungan dari behavioral description yang merupakan high level description adalah kita tidak perlu memfokuskan pada gate level pada desain implementasi tetapi kita fokuskan pada usaha mengakuratkan model fungsi. Proses statement dimulai dengan sebuah label yang diikuti dengan tanda ‘:’ kemudian kata ‘proses’ dan sensitivity list dan dibawahnya diikuti dengan sequential statement, setelah bagian sequential statement selesai diakhiri dengan ‘end process’ dan label process.

Yang termasuk sequential statement, antara lain :
1) Process statement
2) If-then-else statement
3) Case-when statement
4) For-loop statement
5) While-loop statement

6. Package

Sebuah package dapat mendeklarasikan komponen berupa pasangan entity dan architecture, type, konstanta atau fungsi agar item-item tersebut dapat digunakan pada desain yang lain. Package sering kali dituliskan sebelum entity dan architecture. Agar sebuah desain dapat menggunakan package yang sudah dibuat, maka pada desain tersebut harus memanggil package yang akan dipakai dengan menggunakan klausa USE

ENTITY entity-name IS PORT
[signal][sig-name,..]:[direction] type;
[;signal[sig-name,..]:[direction] type]
.
.
);
END entity-name;
ARCHITECTURE architecture-name OF entity-name IS
[type-declarations]
[signal-declarations]
[constant-declarations]
BEGIN
[architecture definition]
END architecture-name;



Berikut ini adalah contoh coding d-flip flop menggunakan process dan if statement.


library IEEE;
use IEEE.std_logic_1164.all;

entity dffv1 is

port(
d : in std_logic;
ena : in std_logic;
q : out std_logic
);

end dffv1;

architecture arch1 of dffv1 is
begin

process (d, ena)
begin
if (ena = '1') then
q <= d;
end if;
end process;

end arch1;


Berikut ini adalah contoh coding d-flip flop menggunakan wait statement.

library IEEE;
use IEEE.std_logic_1164.all;

entity dff is

port(
D : in std_logic;
CLK : in std_logic;
Q : out std_logic;
Qbar : out std_logic
);

end dff;


architecture arch1 of dff is

begin

-- Your VHDL code defining the model goes here
output: process
begin
wait until (CLK'event and CLK = '1');
Q <= D after 10 ns;
Qbar <= not D after 10 ns;
end process output;
end arch1;





DAFTAR PUSTAKA

Putra, A E. (2010). Abstraksi dan Sintesis VHDL - VHSIC Hardware Description Language. (Online). Tersedia: http://agfi.staff.ugm.ac.id/blog/index.php/ 2010/02/abstraksi-sintesis-vhdl-vhsic-hardware-description-language [ 8 Maret 2010 ]

Spiegel, J. (2006). VHDL Tutorial. (Online). Tersedia: http://www.seas.upenn.edu/~ese201/ vhdl/vhdl_primer.html [ 9 Maret 2010 ]


Sutikno, T. (2009). VHDL = Very High-Speed Integrated Circuit (VHSIC) Hardware Description Language. (Online). http://blog.uad.ac.id/tole/2009/03/vhdl-very-high-speed-integrated-circuit-vhsic-hardware-description-language [ 8 Maret 2010 ]


http://ndoware.com/mengenal-vhdl-bagian-2.html [ 8 Maret 2010 ]
http://www.cs.uregina.ca/Links/class-info/301/register/lecture.html [ 8 Maret 2010 ]

09.32

Berlatih mengucap kata bahasa inggris

She sells sea shells by the sea shore.
The shells she sells are surely seashells.
So if she sells shells on the seashore,
I'm sure she sells seashore shells.



Mr. See owned a saw.
And Mr. Soar owned a seesaw.
Now See's saw sawed Soar's seesaw
Before Soar saw See,
Which made Soar sore.
Had Soar seen See's saw
Before See sawed Soar's seesaw,
See's saw would not have sawed
Soar's seesaw.
So See's saw sawed Soar's seesaw.
But it was sad to see Soar so sore
Just because See's saw sawed
Soar's seesaw!


How much wood would a woodchuck chuck
if a woodchuck could chuck wood?
He would chuck, he would, as much as he could,
and chuck as much wood as a woodchuck would
if a woodchuck could chuck wood.




Swan swam over the sea,
Swim, swan, swim!
Swan swam back again
Well swum, swan!

Good Blog

12.45

VHDL

V ery highspeed integrated circuit

H ardware

D escription

L anguage

VHDL adalah singkatan yang dipersingkat karena aslinya adalah VHSIC HDL. Program VHIC (Very Highspeed Integrated Circuit) dikembangkan akhir tahun 1970-an sampai awal 1980-an, berdasarkan sumber dari Universitas Waterloo :

  • Rupanya pada waktu itu program VHSIC dikembangkan oleh Departemen Pertahanan Amerika
  • Alat yang sudah ada (pada waktu itu tahun 1980, yaitu Ada programming language) sudah tidak sanggup untuk membuat desain hardware yang lebih kompleks sehingga akhirnya dibuatlah penggantinya dengan nama VHDL.

Inilah evolusi berdasarkan sumber dari Universitas Waterloo

  • 1981, VHDL diusulkan sebagai bahasa deskripsi hardware
  • 1986, VHDL diusulkan sebagai standar IEEE
  • 1987, Standar pertama VHDL (IEEE-1076-1987)
  • 1993, Standar VHDL direvisi (IEEE-1076-1993)
  • 2002, Standar VHDL sekarang (IEEE-1076-2002), dan
  • Sekarang digunakan dengan luas oleh kalangan industri dan akademi, dengan penambahan IEEE-1164-1993 untuk mengenalkan nilai sistem logika

MD5: DDF208A85F10EE13416B3402A53CEBAB

10.16

Download TugaS

MD5: 152B1467BEF83694D5ACCC832239760B