Masakan yang mudah, simpel, dan enak siapa yang tak mau? Ada berbagai cara untuk mendapatkan resep masakan yang mudah dan simpel dan juga enak. Bisa mengutak-atik resep yang sudah ada, atau dengan memanfaatkan peralatan memasak seoptimal mungkin. Seperti disini, resep yang disajikan semuanya dimasak di magic com.
Jika lapar, membeli makanan di warung menjadi pilihan yang mudah. Tetapi tidak semua warung menyajikan makanan yang murah, bersih, dan lezat.
Pernah saya makan di warung A, murah sekali hanya dengan seporsi nasi + sayur sop + mi goreng total harganya rp 3500. Wow apalagi masakannya prasmanan jadi bisa ngambil sedikit atau banyak sesuka hati. hhee.. namun ketika saya cicipi rasanya kurang sreg.
Pernah juga saya makan di warung B, masakannya tidak prasmanan dan ketika saya cicipi rasanya lezat sekali. Sekali lagi sayang, harganya kurang sreg.
Semua itu adalah pilihan. Memasak sendiri juga pilihan. Lalu apa resep masakan mudah simpel enak dan tidak membuat kantong kempes..?
Berikut ini ada beberapa resep yang bisa kita praktekan di rumah:
NASI BAKAR ALA MAGIC COM
Bumbu halus:
5 siung bawang merah
5 siung bawang putih
1 sendok teh garam
Bahan:
1 sdm mentega
3 sdm minyak goreng
200 ml air
3 lmbr daun salam
3 buah ati ampela
1 buah dada ayam
4 piring nasi yang sudah matang
4 lmbr daun salam
4 batang sereh
lembar daun pisang
tusuk gigi
Cara membuat:
1. Nyalakan magic com, tekan tombol cook lalu panaskan minyak dan mentega
2. Tumis bumbu halus dan 1 lembar daun salam sampai wangi
3. Tambahkan air tunggu sampai mendidih
4. Masukan ati ampela dan potongan daging ayam
5. Aduk dan tutup magic com. Sesekali aduk. Bila sudah tidak ada lagi airnya, matikan magic com.
6. Masukan nasi ke dalam magic com, aduk hingga bumbu merata.
7. Siapkan lembaran daun pisang, taruh selembar daun salam, sereh, dan nasi di lembaran daun pisang, tusuk dengan tusuk gigi.
8. Untuk penyajian akhir, nasi yang sudah dibungkus daun pisang tadi di bakar atau bisa juga di kukus.
TUMIS KANGKUNG ALA MAGIC COM
Bahan:
3 siung bawang putih
1 buah tomat
3 buah cabai hijau
2 ikat kangkung
1 sdt garam
penyedap rasa
minyak goreng
air secukupnya
Cara memasak:
1. Nyalakan magic com, tekan tombol cook lalu panaskan minyak.
2. Tumis irisan bawang putih, cabai hijau dan tomat sampai wangi.
3. Masukan kangkung yang sudah dipetik dan dicuci bersih, aduk.
4. Tambahkan air secukupnya.
5. Masukan garam dan penyedap rasa, masak hingga matang
NASI GORENG KENCUR
Bumbu Halus:
2 bh bawang merah
4 bh bawang putih
1 cm kunyit
2 cm kencur
1 sdt garam
Bahan:
3 piring nasi
3 bh bakso
cabai rawit
minyak goreng
garam
Cara memasak:
1. Nyalakan magic com, tekan tombol cook lalu panaskan minyak.
2. Tumis bumbu halus sampai wangi.
3. Masukan irisan bakso, aduk aduk hingga matang
4. Masukan nasi putih dan cabai rawit, aduk sampai bumbu merata
5. Masukan garam secukupnya, jika rasa sudah pas, matikan tombol cook
Dream. Believe. Make it happen.
Categories
- bahasa (1)
- bunga (1)
- coret (1)
- download (2)
- elektro (3)
- kesehatan (2)
- lounge (1)
- pelajaran (3)
- PHP (1)
- resep (2)
- wisata (1)
Blog Archive
-
▼
2010
(33)
-
►
Februari
(17)
- Tentang putri Dyah Pitaloka Citraresmi
- Periode Perkembangan Konstitusi
- Cara Kerja Air Conditioner
- Sejarah Air Conditioner ( AC )
- Tari Dewi
- Jazirah Arab
- Math professor bares 'formula for love'
- IC Timer 555
- Bunga Wijaya Kusuma
- Dasar Pemrograman PHP
- Apresiasi Sastra
- Download gratis ebook bahasa indonesia
- Download gratis ebook tentang komputer
- Kerusakan sistem tenaga lsitrik
- All About Diabetes and Obesitas
- Download File
- Pengertian Seni Rupa
-
►
Februari
(17)
My name is
- ira
- Indonesia
- saya menyukai kebebasan, seperti burung yang bebas terbang kemanapun ia mau.
Friend
Feedjit
Label: resep
Franz Wilhelm Junghuhn adalah seorang penjelajah keturunan Jerman, yang lebih dikenal oleh orang Indonesia, lewat "biji-tanaman" kina yang disimpan di kantongnya dan kemudian ditebarkan di daerah Lembang.
Orang yang pertama membawa biji kina ke Pulau Jawa adalah Blume pada tahun 1829. Selama jangka tahun 1830 - 1837 usaha penanaman kina (Latin: "Cinchona") telah dilakukan pula oleh Korthals, Reinwardt, Fritze dan Junghuhn. Percobaan penanaman kina yang mereka lakukan menemui kegagalan.
Atas permintaan Gubernur Jenderal Pahud, Junghuhn diutus mencari benih kina varitas unggul ke Amerika Selatan. Namun kemudian Junghuhn mewakilkan pekerjaan itu kepada Dr. Hasskari yang berangkat dari Negeri Belanda pada tanggal 12 Desember 1854, menuju Amerika Selatan.
Di bawah pengelolaan "tangan dingin" Franz Junghuhn, pengembangan tanaman kina dari bibit yang dibawa Hasskarl mencapai hasil yang memuaskan
Daftar Pustaka:
Kunto, Haryono. 1985. Wajah Bandoeng Tempo Doeloe. Bandung : Granesia.
Yup, sesuai judul yaitu resep kue mudah dan simpel. Inilah dia Soes Kicik-Kicik. Tahu resep ini udah lama sekali berawal dari potongan artikel di koran nova. Cocok untuk anak kos-an macam ane yang pengen belajar bikin kue karena proses membuatnya sangat simpel dan rasanya maknyuss, lazisssss, mantabh, spektakuler, luarr biasa, fenomenal (*nyomot slogan emas tukul). Karena resepnya dihapalin di luar (ato dalem) kepala maka ada beberapa bagian yang lupa lupa ingat, tetapi tak apa lah karena tidak mengubah rasa.
Yuk simak..
Resep Soes Kicik Kicik
Bahan:
200 ml susu cair
100 gr blueband
1 sdm gula pasir
100 gr tepung terigu
3 btr telur
minyak goreng (tambahkan 1 sdm blueband)
gula halus
Alat:
Panci
Wajan
Sendok
Spatula (bener gak namanya? nyang kaya spongebob)
Cara Membuat:
1. Panaskan 100 gr blueband hingga meleleh ke dalam panci, lalu tambahkan susu cair, aduk dan tunggu hingga mendidih.
2. Masukan tepung terigu secara perlahan, aduk-aduk hingga adonan menjadi kalis.
3. Jika adonan sudah kalis, matikan api dan diamkan adonan beberapa saat hingga dingin.
4. Tambahkan 3 (atau terserah) butir telur, aduk hingga rata.
5. Siapkan wajan, panaskan minyak goreng, tidak lupa tambahkan 1 sdm blueband.
6. Bentuk adonan bulat2 kecil dengan menggunakan sendok, goreng hingga berwarna agak kecoklatan, angkat dan sisihkan.
7. Beri taburan gula halus sesuai selera.
Label: resep
Tulisan ini berisi daftar tempat wisata yang ada di banten dan jawa barat nyang ira suka. (*like this by ira)
Daftar tempat-tempat yang pengen di kunjungi:
1. Pelabuhan ratu
Tahun 2009 ira ke pelabuhan ratu untuk pertama kalinya. Ira sekeluarga naik panther dari tangerang tapi rutenya ga lewat sukabumi.
Berikut detailnya:
Dari SERPONG ke RUMPIN . Lanjut ke JASINGA lalu SAJIRA berikutnya RANGKAS BITUNG next MALINGPING perjalanan berlanjut ke BAYAH selanjutnya CISOLOK terakhir baru deh PELABUHAN RATU.
Akhirnya sampai juga. Total waktu tempuh 14 jam. Dan semua anggota rombongan bersorak-sorak bergembira. Betapa tidak, begitu sampai disana, kondisi kamui sudah sangat mengenaskan. Pantat pegel naudzubillah, cemilan udah pada abis, bosen, mual, semua jadi satu. Tapi semua itu ga sia-sia kok. Selama perjalanan banyak sekali pemandangan indah di kiri-kanan jalan.
Ada perkebunan kelapa sawit yang rimbun, perkebunan karet yang sejuk, hutan-hutan, sungai, pantai, jembatan kereta api (*kata uqi), dan masih banyak lagi. Semuanya berasa seperti jejak petualang, huehuehue..
Catatan: Jalanan yang dilalui dari Jasinga (*kalo ga salah) sampai last destination itu sempit. Cuma muat 2 mobil. Di beberapa titik ada jalanan yang rusak (*parah) sampai-sampai jalannya pelan takut kepeleset (*ada lumpurnya). Jadi kalau mau ke sana disarankan tidak memakai mobil sedan karena bakal kesusahan nantinya.
Pengalaman ke pelabuhan ratu bener2 berkesan. Pantainya masih alami dibanding pantai pangandaran. Pengunjungnya juga dikit. Akses ke sana rada susah karena harus melalui jalan yang berkelok-kelok dan tanjakan yang teramat dahsyat.
Kalau ada rejeki dan kesempatan, ira pengen ke sana lagi, naik motor (tapi dibonceng, :P...). Jangan lupa bawa tenda sama samak biar tidurnya ga usah nyewa2 kamar dan perabotan masak tentunya.
2. Pantai Baron di jogja,
Pantai Baron terletak di selatan Yogyakarta (*lha iya to masa di utara). Pas hari minggu(*kalo ga salah) ira kesana, pengunjungnya itu buaanyak tenan. Tempatnya masih asri lho, sepanjang perjalanan di kiri-kanan jalan kita disuguhi pemandangan hutan yang eksotis.
Pantai baron terletak di suatu kawasan wisata pantai. Sebenernya kawasan itu ada beberapa pantai yang bisa dikunjungi. Di salah satu pantai (*lupa namanya) kita bisa nangkep ikan-ikan laut dan bisa dibawa pulang tentunya. Jadi kesimpulannya kalau mau main ke sini jangan lupa bawa jaring sama toples. Oke-oke.
3. Situ Patenggang
Situ Patenggang berada di ujung jalan ciwidey. Di daerah situ sebenernya ada banyak tempat yang bisa dikunjungi. Jadi sayang kalau ke sana cuma ke situ patenggang aja. Untuk memanfaatkan waktu dan keempatan, berikut ada beberapa tips yang bisa diikuti.
Pergi ke ciwidey enaknya pagi-pagi. Begitu bangun, solat, langsung cabut. Ga usah mandi (*pede mode on).
Next destination, pemandian air panas. Di ciwidey ada 2 tempat pemandian air panas, yaitu cimanggu dan walini. Nah disini nih cerita dimulai. G perlu pake ba bi bu bu ka baju pokoknya langsung nyebur ke kolam. Brrrrr... mantaps (*kata sekar).
Dari situ, perjalanan lanjut ke situ patenggang. Disana acara makan siang. Ngampar samak dan buka rantang. Kita makan.
Selanjutnya, pulang. Sebelum pulang mampir dulu ke kawah putih. Bisa juga ke kebun stroberi kalau masih sempet.
Selesai.
4. Ujung Kulon
To be ocntinued..
Label: wisata
Video Gamma Band - Lost in Love
Lost in Love
Gamma | MySpace Music Videos
PEMBAHASAN
A. Latar Belakang Kasus Bank Century
Pada tahun 2002 Auditor Bank Indonesia menemukan rasio modal Bank CIC amblas hingga minus 83,06% dan CIC kekurangan modal sebesar Rp 2,67 triliun. Tahun 2003 bulan Maret bank CIC melakukan penawaran umum terbatas ketiga.
Bulan Juni Bank CIC melakukan penawaran umum terbatas keempat. Pada tahun 2003 pun bank CIC diketahui terdapat masalah yang diindikasikan dengan adanya surat-surat berharga valuta asing sekitar Rp 2 triliun yang tidak memiliki peringkat, berjangka panjang, berbunga rendah, dan sulit dijual.
BI menyarankan merger untuk mengatasi ketidakberesan pada bank ini. Tahun 2004, 22 Oktober dileburlah Bank Danpac dan Bank Picco ke Bank CIC. Setelah penggabungan nama tiga bank itu menjadi PT Bank Century Tbk, dan Bank Century memiliki 25 kantor cabang, 31 kantor cabang pembantu, 7 kantor kas, dan 9 ATM. Tahun 2005 pada bulan Juni Budi Sampoerna menjadi salah satu nasabah terbesar Bank Century Cabang Kertajaya Surabaya.
Tahun 2008, Bank Century mengalami kesulitan likuiditas karena beberapa nasabah besar Bank Century menarik dananya seperti Budi Sampoerna akan menarik uangnya yang mencapai Rp 2 triliun. Sedangkan dana yang ada di bank tidak ada sehingga tidak mampu mengembalikan uang nasabah dan tanggal 30 Oktober dan 3 November sebanyak US$ 56 juta surat-surat berharga valuta asing jatuh tempo dan gagal bayar.
Keadaan ini diperparah pada tanggal 17 November Antaboga Delta Sekuritas yang dimiliki Robert Tantular mulai tak sanggup membayar kewajiban atas produk discreationary fund yang dijual Bank Century sejak akhir 2007.
Pada 20 November 2008, BI melalui Rapat Dewan Gubernur menetapkan Bank Century sebagai bank gagal berdampak sistemik. Keputusan itu kemudian disampaikan kepada Menteri Keuangan Sri Mulyani selaku Ketua Komite Stabilitas Sistem Keuangan (KSSK). Kemudian KSSK mengadakan rapat pada 21 November 2008.
Berdasarkan audit BPK, rapat tertutup itu dihadiri oleh Menteri Keuangan Sri Mulyani sebagai ketua KSSK, Raden Pardede selaku Sekretaris KSSK, Ketua Unit Kerja Presiden untuk Pengelolaan Program Reformasi (UKP3R) Marsilam Simanjuntak, dan Gubernur BI Boediono sebagai anggota KSSK.
Rapat itu kemudian ditindaklanjuti dengan rapat Komite Koordinasi yang dihadiri oleh Ketua KSSK, Gubernur BI, dan Dewan Komisioner Lempaga Penjamin Simpanan (LPS). Peserta rapat sepakat menyatakan Bank Century sebagai bank gagal berdampak sistemik dan menerima aliran dana penanganan Bank Century melalui LPS.
Saat rapat Komite Stabilitas Sistem Keuangan (KSSK) yang dipimpin oleh Menteri Keuangan Sri Mulyani untuk memutuskan nasib Bank Century, Marsilam masih menjabat sebagai Ketua UKP3R. Akan tetapi keikutsertaanya dalam kapasitas sebagai penasihat Menteri Keuangan RI dan seagai narasumber.
Dari rapat tersebut diputuskan menyuntikkan dana ke Bank Century sebesar Rp 632 miliar untuk menambah modal sehingga dapat menaikkan CAR menjadi 8%. Enam hari dari pengambilalihan LPS mengucurkan dana Rp 2,776 triliun pada Bank Century untuk menambah CAR menjadi 10%. Karena permasalahan tak kunjung selesai Bank Century mulai menghadapi tuntutan ribuan investor Antaboga atas penggelapan dana investasi senilai Rp 1,38 triliun yang mengalir ke Robert Tantular.
Pada 5 Desember 2008 LPS menyuntikkan dana kembali sebesar Rp 2,2 triliun untuk memenuhi tingkat kesehatan bank. Akhir bulan Desember 2008 Bank Century mencatat kerugian sebesar Rp 7,8 triliun.
Bank yang tampak mendapat perlakuan istimewa dari Bank Indonesia ini masih tetap diberikan kucuran dana sebesar Rp 1,55 triliun pada tanggal 3 Februari 2009. Padahal bank ini terbukti lumpuh.
Pada Bulan Juni 2009 Bank Century mencairkan dana yang telah diselewengkan Robert sebesar Rp 180 miliar pada Budi Sampoerna. Namun, dibantah oleh Budi yang merasa tidak menerima sedikit pun uang dari Bank Century. Atas pernyataan itu LPS mengucurkan dana lagi kepada Bank Century sebesar Rp 630 miliar untuk menutupi CAR. Sehingga, total dana yang dikucurkan kepada Bank Century sebesar Rp 6,762 triliun.
B. Hasil audit BPK
Hasil audit interim BPK atas Century itu telah diserahkan kepada DPR pada 28 September 2008. Pada tanggal 30 September laporan awal audit BPK mengungkapkan bahwa banyak kejangggalan dalam masalah pengucuran dana pada Bank Century.
Pada akhirnya BPK menemukan 9 temuan dalam kasus Bank Century diantaranya
Selain itu, Komisi Pemberantasan Korupsi (KPK) menyatakan bisa menangani sebagian besar dari sembilan temuan Badan Pemeriksa Keuangan (BPK) dalam kasus Bank Century jika sesuai dengan kewenangan KPK dan ditemukan cukup bukti.
Satu-satunya temuan BPK yang tidak bisa ditangani KPK adalah temuan ketujuh, tentang penggunaan FPJP oleh manajemen Bank Century. Sementara enam temuan lain bisa ditangani KPK jika memenuhi ketentuan dalam Undang-Undang KPK.
KPK membagi temuan BPK dalam tiga periode. Pertama periode sebelum pengucuran FPJP. Tiga temuan BPK masuk dalam periode itu, yakni ketidaktegasan BI dalam menerapkan aturan akuisisi dan merger tiga bank menjadi Bank Century, ketidaktegasan pengawasan BI, dan praktik tidak sehat oleh pengurus Bank Century.
Kedua, setelah kucuran FPJP. Selain temuan ketujuh, temuan ketiga juga dimasukkan dalam periode ini. Temua ketiga berupa pemberian FPJP dengan mengubah ketentuan BI.
Ketiga, periode sejak ditangani LPS. Temuan BPK yang masuk periode ini penentuan Century sebagai bank gagal berdampak sistemik tidak didasarkan data mutakhir (temuan keempat), penanganan oleh LPS dilakukan melalui Komite Koordinasi yang belum dibentuk oleh undang-undang (temuan kelima).
Kemudian penanganan Bank Century oleh LPS tidak disertai perkiraan biaya penanganan sehingga terjadi penambahan (temuan keenam), pembayarankepada pihak ketiga selama Bank Century berada dalam pengawasan khusus (temuan ketujuh), dan penggelapan dana kas 18 juta dolar AS (temuan kedelapan).
Uang LPS yang dikucurkan adalah uang negara meski sudah dipisahkan. Pengertian pemisahan dana LPS adalah dipisahkan dari APBN. Dengan demikian, uang LPS sama statusnya dengan uang sejumlah Badan Usaha Milik Negara (BUMN) sebagai uang negara yang dpipisahkan dari APBN.
C. Panitia Khusus (Pansus) Century
Atas temuan BPK yang janggal tersebut DPR melakukan hak angket. Hak angket adalah hak anggota badan legislatif untuk mengadakan penyelidikan kembali.
Panitia Khusus Hak Angket yang dibentuk terdiri dari 139 anggota dari 8 fraksi, diketuai oleh Idrus Marham. Tujuan dari pansus ini adalah mengadakan penyelidikan selama 3 bulan kepada pihak-pihak yang bertanggung jawab dan yang berhubungan dengan bank Century dengan meminta kesaksian dari ihak-pihak tersebut.
1. Kesaksian Menteri Keuangan Sri Mulyani
Menteri Keuangan Sri Mulyani bertanggung jawab penuh atas keputusan penyelamatan Bank Century berdasarkan data awal nilai bailout dari BI sebesar Rp 632 miliar. Pada 13 November 2008, Sri Mulyani pernah membicarakan krisis keuangan global dan perbankan nasional kepada Presiden dan Wakil Presiden. Dalam pembicaraan tersebut diberitahukan bahwa keadaan bisa memburuk karena Bank Century kalah kliring. SBY mengatakan perlu ada langkah-langkahpencegahan, sementara JK tidak ingin ada penjamin penuh terhadap Bank Century.
Sri Mulyani telah melaporkan keputusan KSSK untuk memberikan dana talangan pada Bank Century kepada Presiden SBY dan Wakil Presiden JK melalui SMS. SMS tersebut ia kirimkan pada 21 November 2008 sekitar pukul 8.30 WIB. Komisi XI DPR, pada saat rapat kerja pada 3 Desember 2008, juga menyatakan perlunya penjamin penuh atas Bank century.
Selain itu, Sri Mulyani tidak puas atas berubah-ubahnya data yang diberikan BI terkait dana yang dibutuhkan untuk penalangan. Pada 21 November 2008, tiga hari data terus berubah hingga mencapai Rp 6,7 triliun.
Menurutnya, tidak ada kerugian negara yang ditimbulkan dari bailout ini. Masyarakat justru diuntungkan karena dana talangan mencegah Indonesia dari krisis ekonomi internasional saat itu. Bank kecil seperti Bank Century, tidak termasuk ke dalam 15 bank besar yang disebut Systematically Important Bank (SIP), juga bisa menimbulkan dampak sistemik dalam situasi krisis.
Krisis yang sudah terjadi di Indonesia bisa menjadi sistemik seperti 1998 lalu jika Bank Century tidak diselamatkan. Tanda-tandanya sudah ada. Semenjak 21 November 2008, penanganan Bank Century oleh Lembaa Penjamin Simpanan tak lagi menggunakan Perppu JPSK. Penanganan melalui bailout Rp 6,7 triliun tersebut berdasarkan UU LPS.
2. Kesaksian Mantan Gubernur BI Boediono
Boediono menyatakan, kehadiran Kepala Kerja Program Reformasi Marsilam Simanjuntak dalam rapat KSSK sebagai narasumber. Boediono tidak ingat secara pasti detail rapat KSSK. Pemberian dana talangan tidak wajib dilaporkan olehnya kepada Wakil Presiden.
Dana Yayasan Kesejahteraan Karyawan BI (YKKBI) di Century bukan alas an penyelamatan Bank Century. Berapa pun besarnya kerugian yang diderita BI untuk menyelamatkan Bank Century di waktu krisis tidak akan menjadi masalah, dibandingkan dengan harus menutup bank tersebut.
Mutasi mantan Direktur Pengawasan I Zainal Abidin pada bulan Desember 2008 bukan karena Zainal menentang perubahan aturan pemberian FPJP. Mutasi Zainal Abidin pada saat itu bertujuan untuk meningkatkan kerja.
Boediono tidak mengumumkan pada public soal gagal kliring yang dialami Bank Century, shingga menyebbakan bank tersebut rush. Definisi keuangan negara dalam LPS diserahkan pada ahli hokum tata negara dan ahli hokum keuangan negara.
3. Kesaksian Mantan Wakil Presiden Jusuf Kalla
Mantan Wakil Presiden M. Jsufu Kalla menyatakan krisis yang mengganggu perekonomian nasional hanya sebagai keadaan yang tidak biasa. Ada krisis, tetapi tidak signifikan. Pada tahun 2008 tidak ada kepanikan. Pada 1998, inflasi mencapai 75%, tetapi pada 2008 inflasi hanya 3%. Selain itu, suku bunga yang terjadi pada 1998 jauh lebih tinggi dibandingkan dengan suku bunga 2008. PPada 2008, kurs rupiah anjlok hingga Rp 12.000 per dolar AS. Namun anjloknya nilai tukar saat itu dianggap wajar. Sebab, aliran dana asing keluar dari Indonesia.
JK juga mengatakan bahwa Bank Century tidak mengalami rush atau kepanikan dengan penarikan dana besar-besaran. Menurut JK yang terjadi adalah Bank Century kalah kliring dan itu bukan disebabkan adanya rush. Bailout yang dikeluarkan untuk Bank Century berpotensi merugikan negara. Bank Century seharusnya tidak perlu diselamatkan karena dananya dirampok oleh pemilik bank itu sendiri, Robert Tantular.
Uang LPS masuk kategori uang negara. Hal ini disebabkan dalam Undang-Undang LPS, LPS bertanggung jawab kepada Presiden. Selain itu, JK menolak usulan Peraturan Pemerintah Pengganti Undang-Undang Nomor 4/2008, tentang Jaring Pengaman Sistem Keuangan atau Perppu JPSK. JK juga tidak menerima laporan via SMS dari Menteri Keuangan Sri Mulyani pada 21 November 2008. Laporan kebijakan melalui SMS adalah suatu tindakan yang tidak patut untuk kebijakan penting.
JK baru mengetahui adanya masalah Bank Century saat Sri Mulyani dan Gubernur BI Boediono melapor di Istana Wakil Presiden, Jakarta Pusat, 25 November 2008 empat hari setelah Bank Century diputuskan sebagai bank gagal berdampak sistemik. JK juga tidak pernah mengintervensi penangkapan mantan pemilik Bank Century oleh polisi, melainkan memerintahkan penangkapan itu.
4. Kesaksian Mantan Kabareskrm Komisaris Jenderal Susno Duadji
Mantan Kabareskrim Komisaris Jenderal Susno Duadji mengatakan Bank Indonesia pernah melaporkan pemilik Bank Century, Robert Tatular, ke Mabes Polri. Namun, laporan tersebut disampaikan setelah Robert Tantular ditangkap Mabes Polri atas perintah Wakil Presiden Jusuf Kalla. BI menyerahkan berkas-berkas laporannya itu dua hari setelah penangkapan Robert.
Susno Duadji mengakui bahwa Polri mendapat perintah penangkapan Robert Tantular dari Wakil Presiden Jusuf Kalla. Pada 25 November 2008 saat dirinya memberitahukan kepada BI untuk mennagkap pemilik Bank Century, petinggi BI menganggap bukti-buktinya belum cukup.
Oleh karena itu, meski Wakil Presiden Jusuf Kalla telah memerintahkan kapolri untuk menangkap Robert Tantular, baru setelah dua jam Kapolri bisa menangkapnya. Ketika itu ada kekhawatiran Robert kabur mengingat semua keluarganya sudah diungsikan ke luar negeri.
Menurut Susno, apa yang dilakukan Robert adalah murni perampokan. Uang nasabah yang dicuri lebih kurang Rp 1,298 triliun yang disembunyikan di sejumlah negara dan sebagian sudah dibekukan.
D. Sidang Paripurna DPR
Hasil akhir dari kerja pansus Century selama 3 bulan dibahas dalam sidang Paripurna DPR yang dilaksanakan tanggal 2 sampai 3 Maret 2010. Sidang Paripurna yang dilaksanakan 2 hari tersebut hanya membahas 2 opsi kesimpulan dan rekomendasi penyelidikan yang dihasilkan oleh Pansus Century.
Inti Opsi pertama (A) menyatakan pemberian Fasilitas Peminjaman Jangka Pendek (FPJP) dan Penyertaan Modal Sementara (PMS) tidak bermasalah karena dilakukan untuk mencegah krisis dan sudah berdasar peraturan perundang-undangan yang berlaku. Sedangkan opsi kedua (C), menyatakan baik pemberian FPJP maupun PMS bermasalah dan merupakan tindak pidana.
Posisi sikap fraksi 6 : 3 untuk yang menganggap bailout bermasalah (opsi C). Enam fraksi memilih opsi C. PKB, PD, dan PAN memilih opsi A.
Opsi A adalah posisi bagi mereka yang menganggap tidak ada penyalahgunaan wewenang. Layaknya hitam putih, opsi C adalah sebaliknya, fraksi yang menengarai penyalahgunaan wewenang memilih opsi ini
Dari 6 fraksi yang memilih opsi C, hanya empat yang akan menyebut nama.
Nama-nama yang disebut diletakkan di matrik di bawah poin ketiga kesimpulan akhir Pansus Century. Kesimpulan disusun per opsi (A/C) berikut poin-poin pandangan fraksinya.
DAFTAR PUSTAKA
2009, 24 November. Dana Rp 5,8 Triliun Diselewengkan. Pikiran rakyat [Surat Kabar], halaman 1.
2009, 25 November. Kasus Century bukan Karena Krisis, Murni Kriminal. Pikiran rakyat [Surat Kabar], halaman 22.
2009, 27 Desember. SBY tak Pernah Usut Marsilam. Pikiran rakyat [Surat Kabar], halaman 1.
2010, 4 Januari. Panggil Staf Khusus Presiden. Pikiran rakyat [Surat Kabar], halaman 8.
2010, 5 Januari. Rekomendasi Pansus Agar Objektif. Pikiran rakyat [Surat Kabar], halaman 2.
2010, 5 Januari. KPK Bisa Usut Kasus Besar Skandal Century. Pikiran rakyat [Surat Kabar], halaman 7.
2010, 6 Januari. KPK Akan Panggil Sri Mulyani. Pikiran rakyat [Surat Kabar], halaman 8.
2010, 6 Januari. Pengejaran Aset Century Terlambat. Pikiran rakyat [Surat Kabar], halaman 8.
2010, 6 Januari. Merger Tiga Bank Pilihan Dilematis. Pikiran rakyat [Surat Kabar], halaman 8.
Kaskus.us
detik.com
dll
Label: pelajaran

Filariasis Limfatik atau yang biasa dikenal dengan kaki gajah adalah suatu penyakit yang disebabkan oleh 3 jenis cacing, yakni Wuchereria bancrofti, Brugia malayi, dan Brugia timori. Jika sudah masuk ke dalam tubuh manusia, cacing-cacing ini menempati saluran limfe. Cacing menyumbat pembuluh limfe dan menghalangi cairan limfe sehingga terjadi pembengkakan di kaki, tangan peyudara, atau buah zakar. Yang sudah parah, di kakinya bisa tumbuh lumut jamur. Ya lumut, tau kan lumut itu biasa timbuh dimana, betapa mengerikan filariasis ini sampai 2 lumut aja bisa tumbuh di kaki orang yang kena kaki gajah.
Ada beberapa level untuk filariasis dilihat dari tingkat akutnya. Level pertama bagian tubuh mulai terlihat bengkak, tetapi tidak ada lipatan dan kulit masih muluss. Level kedua bengkak tambah besar, ada lipatan tapi tidak terlalu dalam, kulit masih muluss. Level ketiga bengkak membesar, lipatan dalam dan kulit mulai kasar.
Misalkan kita sudah terkena filariasis katakan level 2, bisa disembuhkan. Akan tetapi cacat yang sudah ada itu akan menetap seumur hidup.
Wuchereria bancrofti | Brugia malayi |
Filariasis tidak akan menular melalui kontak langsung dengan penderitanya, jangan salah kaprah. Penyakit ini hanya akan menular melalui perantara nyamuk-nyamuk nakal. Nyamuk yang menjadi vektor filariasis ada 20 species. Bila kita tinggal di wilayah yang sudah dinyatakan endemik, kita harus waspada karna sapa tahu dari 1000 nyamuk yang sudah seenak jidat menghisap darah kita, salah satunya membawa cacing penyebab filariasis.Nyamuk mula-mula menggigit seorang individu yang terjangkit lalu menggigit individu lain yang belum terjangkit, memindahkan beberapa larva cacing kepada individu yang baru. Saat berada di dalam tubuh, larva bermigrasi ke bagian tubuh tertentu dan tumbuh menjadi cacing dewasa.
Oya, perlu di garis bawahi, tidak cukup hanya satu kali gigitan nyamuk untuk bisa menyebabkan seseorang positif mengidap filariasis. Masa inkubasi antara 6 - 12 bulan. Waktu yang cukup lama bukan?
Obat yang diberikan pemerintah yaitu DEC, albendazole, dan paracetamol. Diberikan 1 tahun sekali selama 5 kali dan harus kita minum, jangan sampai tidak. Jika kita tidak meminumnya, maka kita nanti yangakan menjadi sumber penularan bagi orang orang sekitar kita.
Dengan catatan : Orang yang sedang sakit parah, ibu hamil, ibu menyusui, anak usia kurang dari dua tahun, ibu hamil, ibu menyusui, pengidap gangguan fungsi hati, gangguan fungsi ginjal, TBC kronis, asma, darah tinggi lebih dari 200 mmhg (hipertensi berat), HIV AIDS, bronkopneumonia (flek), gizi buruk, demam lebih dari 38 derajat, kanker, lupus, diare, dan pasien dalam perawatan tidak boleh meminum obatnya takut bereaksi ke penyakit bawaannya.
Untuk obatnya sendiri nggak punya efek samping ke tubuh, sebenarnya. Rasa mual dll itu terjadi karena cacing2 yang ada di tubuh kita mati.
Sekilas info, obat cacing itu ada 2, yang membunuh cacing dan menghancurkannya lalu keluar bersama faeces, dan yang membuat cacingnya keluar hidup2.

Pencegahan, ga ribet la. Ingat 3M menguras bak ember , menutup tempat penampungan air dan mengubur barang bekas. Bisa juga dengan memasang kelambu pada tempat tidur atau memakai obat nyamuk atau lotion anti nyamuk.
Label: kesehatan
”VHDL is a language for describing digital electronic systems. It arose out of the United States Government’s Very High Speed Integrated Circuits (VHSIC) program, initiated in 1980. In the course of this program, it became clear that there was a need for a standard language for describing the structure and function of integrated circuits (ICs). Hence the VHSIC Hardware Description Language (VHDL) was developed, and subsequently adopted as a standard by the Institute of Electrical and Electronic Engineers (IEEE) in the world. - Peter J. Ashenden”
Teknologi VHDL ini terbagi berdasarkan jumlah gate yang ada, yaitu :
a. < 500 gerbang SPLD (Simple Programmable Logic Device)
b. 500 sampai 5000 gerbang CPLD (Complex Programmable Logic Device)
c. 5000 sampai 10.000 gerbang FPGA (Fast Programmable Gate Array) d. 10.000 sampai > 20.000 gerbang ASIC (Aplication Specific Intregrated Circuit)
VHDL terdiri dari beberapa elemen dasar, yaitu :
1. Identifier 4. Entity
2. Data Object 5. Architecture
3. Data Types 6. Package
1. Identifier
Dalam VHDL, Identifier memiliki beberapa karakter dengan aturan sebagai berikut :
1. Karakter yang diperbolehkan adalah huruf besar(A..Z), huruf kecil(a..z), huruf(0..9) dan garis
bawah(_).
2. Karakter pertama harus berupa huruf.
3. Karakter terakhir tidak diperbolehkan berupa garis bawah(_), penggunaan 2 karakter garis
bawah(_) juga tidak diperbolehkan.
4. Penggunaan huruf besar dan huruf kecil dianggap sama (tidak case sensitive).
5. Komentar dalam VHDL dimulai dengan karakter --.
2. Data Object
Terdapat 3 obyek data yaitu :
1. Konstanta
Constant identifier[,identifier..]:type[:value];
2. Variabel
Syntax:
variable ctrl_bits: std_logic;
3. Signal
Signal identifier[,identifier..]:type[:value];
Syntax:
signal con: std_logic;
3. Data Types
Ada 2 macam Data type yang digunakan berdasarkan standar IEEE, yaitu :
a. Berdasarkan standar IEEE 1076/93, yaitu : Boolean, Bit, Bit_vector dan Integer.
b. Berdasarkan standar IEEE 1164, yaitu Std Ulogic, std Logic dan std_Logic Vector.
4. Entity
….
entity
Syntax:
entity entity_name is
Port declaration;
end entity_name;
…list of port declarations…
);
Deklrasi port ini mendefinisikan jenis dari koneksi dan arah yang sesuai. Misalnya, deklarasi port untuk sebuah input bit adalah 1, maka digambarkan sebagai berikut :
in1 : in bit;
port (
in1, in2 : in bit;
out1 : out bit
);
5. Architecture
[type-declarations]
[signal-declarations]
[constant-declarations]
BEGIN
[architecture definition]
END architecture-name;
Dalam architecture body ada beberapa jenis, antara lain :
a. Structural description
· Signal declaration.
· Component instances
· Port maps.
· Wait statements.
Component declaration:
Syntax:
component component_name [is]
List_of_interface ports;
end component component_name;
b. Data flow description
Berikut ini adalah coding half adder yang mempunyai 1 XOR gate dan sebuahAND gate.
Library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity ha_en is
port (A,B:in bit;S,C:out bit);
end ha_en;
architecture ha_ar of ha_en is
begin
S<=A xor B;
C<=A and B;
end ha_ar;
c. Behavioral description
Yang termasuk sequential statement, antara lain :
1) Process statement
2) If-then-else statement
3) Case-when statement
4) For-loop statement
5) While-loop statement
6. Package
ENTITY entity-name IS PORT
[signal][sig-name,..]:[direction] type;
[;signal[sig-name,..]:[direction] type]
.
.
);
END entity-name;
ARCHITECTURE architecture-name OF entity-name IS
[type-declarations]
[signal-declarations]
[constant-declarations]
BEGIN
[architecture definition]
END architecture-name;
Berikut ini adalah contoh coding d-flip flop menggunakan process dan if statement.
library IEEE;
use IEEE.std_logic_1164.all;
entity dffv1 is
port(
d : in std_logic;
ena : in std_logic;
q : out std_logic
);
end dffv1;
architecture arch1 of dffv1 is
begin
process (d, ena)
begin
if (ena = '1') then
q <= d;
end if;
end process;
end arch1;
Berikut ini adalah contoh coding d-flip flop menggunakan wait statement.
library IEEE;
use IEEE.std_logic_1164.all;
entity dff is
port(
D : in std_logic;
CLK : in std_logic;
Q : out std_logic;
Qbar : out std_logic
);
end dff;
architecture arch1 of dff is
begin
-- Your VHDL code defining the model goes here
output: process
begin
wait until (CLK'event and CLK = '1');
Q <= D after 10 ns;
Qbar <= not D after 10 ns;
end process output;
end arch1;
DAFTAR PUSTAKA
Spiegel, J. (2006). VHDL Tutorial. (Online). Tersedia: http://www.seas.upenn.edu/~ese201/ vhdl/vhdl_primer.html [ 9 Maret 2010 ]
Sutikno, T. (2009). VHDL = Very High-Speed Integrated Circuit (VHSIC) Hardware Description Language. (Online). http://blog.uad.ac.id/tole/2009/03/vhdl-very-high-speed-integrated-circuit-vhsic-hardware-description-language [ 8 Maret 2010 ]
Label: elektro
She sells sea shells by the sea shore.
The shells she sells are surely seashells.
So if she sells shells on the seashore,
I'm sure she sells seashore shells.
Mr. See owned a saw.
And Mr. Soar owned a seesaw.
Now See's saw sawed Soar's seesaw
Before Soar saw See,
Which made Soar sore.
Had Soar seen See's saw
Before See sawed Soar's seesaw,
See's saw would not have sawed
Soar's seesaw.
So See's saw sawed Soar's seesaw.
But it was sad to see Soar so sore
Just because See's saw sawed
Soar's seesaw!
How much wood would a woodchuck chuck
if a woodchuck could chuck wood?
He would chuck, he would, as much as he could,
and chuck as much wood as a woodchuck would
if a woodchuck could chuck wood.
Swan swam over the sea,
Swim, swan, swim!
Swan swam back again
Well swum, swan!
Good Blog
V ery highspeed integrated circuit
H ardware
D escription
L anguage
VHDL adalah singkatan yang dipersingkat karena aslinya adalah VHSIC HDL. Program VHIC (Very Highspeed Integrated Circuit) dikembangkan akhir tahun 1970-an sampai awal 1980-an, berdasarkan sumber dari Universitas Waterloo :
- Rupanya pada waktu itu program VHSIC dikembangkan oleh Departemen Pertahanan Amerika
- Alat yang sudah ada (pada waktu itu tahun 1980, yaitu Ada programming language) sudah tidak sanggup untuk membuat desain hardware yang lebih kompleks sehingga akhirnya dibuatlah penggantinya dengan nama VHDL.
Inilah evolusi berdasarkan sumber dari Universitas Waterloo
- 1981, VHDL diusulkan sebagai bahasa deskripsi hardware
- 1986, VHDL diusulkan sebagai standar IEEE
- 1987, Standar pertama VHDL (IEEE-1076-1987)
- 1993, Standar VHDL direvisi (IEEE-1076-1993)
- 2002, Standar VHDL sekarang (IEEE-1076-2002), dan
- Sekarang digunakan dengan luas oleh kalangan industri dan akademi, dengan penambahan IEEE-1164-1993 untuk mengenalkan nilai sistem logika
Dyah Pitaloka Citraresmi atau Citra Rashmi (1340-1357) adalah putri Kerajaan Sunda. Menurut Pararaton, ia dijodohkan dengan Hayam Wuruk, raja Majapahit yang sangat berhasrat untuk menjadikannya sebagai permaisuri.[1] Akan tetapi dalam tragedi Perang Bubat dia melakukan bunuh diri. Tradisi menyebutkan Dyah Pitaloka sebagai gadis yang memiliki kecantikan luar biasa.
Hayam Wuruk, raja Majapahit, mungkin dengan didasari alasan politik, ingin menjadikan putri Citra Rashmi (Pitaloka) sebagai istrinya.[1] Ia adalah anak perempuan dari Prabu Maharaja Lingga Buana dari Kerajaan Sunda. Patih Madhu, makcomblang dari Majapahit, datang ke kerajaan Sunda untuk menjodohkan dan melamar tuan putri Sunda dalam suatu pernikahan kerajaan. Berbesar hati serta melihat perjodohan ini sebagai peluang untuk mengikat persekutuan dengan kerajaan Majapahit yang besar dan jaya, raja Sunda dengan suka cita memberikan restunya dan ikut pergi mengantarkan putrinya ke Majapahit untuk dinikahkan dengan Hayam Wuruk.
Pada tahun 1357 rombongan kerajaan Sunda tiba di Majapahit setelah melayari Laut Jawa. Rombongan kerajaan Sunda mendirikan pesanggrahan di Lapangan Bubat di bagian utara Trowulan, Ibu Kota Majapahit. Mereka menantikan jemputan dari pihak Majapahit serta upacara kerajaan yang pantas layaknya pernikahan agung kerajaan. Akan tetapi Gajah Mada, Mahapatih Majapahit, memandang peristiwa ini sebagai kesempatan untuk menaklukan Sunda dibawah kemaharajaan Majapahit, dan bersikeras bahwa Sang Putri tidak akan diangkat menjadi Ratu Majapahit, tetapi hanya menjadi Selir yang dipersembahkan untuk Raja Majapahit, sebagai tanda takluk Kerajaan Sunda di bawah kekuasaan Majapahit. Raja Sunda amat murka dan merasa dipermalukan oleh tuntutan Gajah Mada yang sungguh keterlaluan ini.
Gugurnya Sang Putri
Akibat ketegangan ini terjadi pertempuran antar rombongan kerajaan Sunda melawan tentara Majapahit. Rombongan kerajaan Sunda berniat untuk bela pati melakukan puputan demi membela kehormatan mereka di Lapangan Bubat. Meskipun memberikan perlawanan dengan gagah berani, rombongan kerajaan Sunda kewalahan dan akhirnya gugur dalam kepungan tentara Majapahit. Hampir seluruh rombongan kerajaan Sunda ditumpas dengan kejam dalam tragedi ini.[2] Tradisi dan kisah-kisah lokal menyebutkan bahwa dalam kesedihan dan hati yang remuk redam, Sang Putri melakukan bunuh diri untuk membela kehormatan dan harga diri negaranya.[3]
Menurut tradisi, kematian Dyah Pitaloka diratapi oleh Hayam Wuruk serta segenap rakyat Kerajaan Sunda yang kehilangan sebagian besar keluarga kerajaannya. Oleh masyarakat Sunda kematian Sang Putri dan Raja Sunda dihormati dan dipandang sebagai suatu keberanian dan tindakan mulia untuk membela kehormatan bangsa dan negaranya. Ayah Sang Putri, Prabu Maharaja Lingga Buana disanjung dan dihormati oleh masyarakat Sunda dengan gelar "Prabu Wangi" (Bahasa Sunda: Raja yang memiliki nama yang harum) karena tindakan heroiknya membela kehormatan negaranya melawan Majapahit. Keturunan beliau, raja-raja Sunda yang kemudian, diberi gelar "Siliwangi" (dari kata Silih Wangi dalam bahasa Sunda berarti: Penerus Prabu Wangi). Tragedi ini sangat merusak hubungan antara kedua kerajaan ini yang berakibat permusuhan hingga bertahun-tahun kemudian. Hubungan kedua negara ini tidak pernah pulih kembali seperti sediakala.[1] Sementara itu di kraton Majapahit, Gajah Mada menghadapi permusuhan dan ketidakpercayaan, karena tindakannya yang ceroboh bertentangan dengan kepentingan keluarga kerajaan Majapahit dan telah melukai perasaan Raja Hayam Wuruk.
Kisah Putri Dyah Pitaloka dan Perang Bubat menjadi tema utama dalam Kidung Sunda. Catatan sejarah mengenai peristiwa Pasunda Bubat disebutkan dalam Pararaton, akan tetapi sama sekali tidak disinggung dalam naskah Nagarakretagama.
Sumber : wikipedia
Berikut ini penjelasan periode perkembangan konstitusi Indonesia:
A. Undang-Undang Dasar 1945
UUD 1945 terdiri dari tiga bagian yaitu:
a. Pembukaan terdiri dari empat alinea.
b. Batang Tubuh terdiri dari 16 Bab, 37 Pasal, IV Aturan Peralihan dan II Aturan Tambahan.
c. Penjelasan.
Pembukaan UUD 1945 yang terdiri dari empat alinea itu, juga mempunyai pokok-pokok pikiran yang sangat penting, yaitu:
a. Negara Indonesia adalah suatu negara yang berdasarkan paham negara persatuan.
b. Dasar negara adalah Pancasila, yaitu.
1) Ketuhanan Yang Maha Esa.
2) Kemanusiaan yang adil dan beradab.
3) Persatuan Indonesia.
4) Kerakyatan yang dipimpin oleh hikmat kebijaksanaan dalam permusyawaratan/perwakilan.
5) Keadilan sosial bagi seluruh rakyat Indonesia.
Batang tubuh UUD 1945, yang dipertegas dalam penjelasan UUD 1945, mengatur tentang sistem pemerintahan negara, yaitu:
a. Indonesia adalah negara yang berdasar atas hukum (Pasal 1).
b. Sistem kostitusional, yaitu pemerintah berdasar atas konstitusi (hukum dasar), jadi tidak bersifat kekuasaan yang tidak terbatas. (Pasal 1)
c. Presiden ialah penyelenggara pemerintah negara menurut Undang-Undang Dasar (Pasal 4).
d. Presiden dibantu oleh menteri-menteri negara, yang diangkat dan diberhentikan oleh Presiden(Pasal 17).
e. Kekuasaan kepala negara tidak tak terbatas, kepala negara harus tunduk pada Konsitusi (Pasal 4).
f. DPR tidak dapat dibubarkan oleh Presiden (Pasal 7).
Undang-Undang Dasar 1945 dalam gerak dan pelaksanaannya
mengalami beberapa masa berlaku, yaitu:
a. Masa Pertama, dimulai tanggal 18 Agustus 1945 — 17 Agustus 1950.
Sejak ditetapkan tanggal 18 Agustus 1945 berarti UUD 1945 berlaku di seluruh wilayah Indonesia. Sedangkan tanggal 27 Desember 1949 merupakan masa berlakunya Konstitusi RIS di mana UUD 1945 hanya berlaku di salah satu negara bagian RIS.
b. Masa Kedua, dimulai tanggal 5 Juli 1959—Sekarang
Dengan adanya kegagalan Dewan Konstituante untuk menetapkan UUD yang baru maka pada tanggal 5 Juli 1959 Presiden Soekarno mengeluarkan dekrit yang berisi:
1) Pembubaran KonstituanteDengan Dekrit Presiden maka negara Republik Indonesia dengan resmi menggunakan UUD 1945 kembali. Sejak saat itu UUD 1945 berlaku hingga sekarang, walaupun dalam pelaksanaannya masih terdapat penyimpangan-penyimpangan. Pada 1998 UUD 1945 mengalamiamandemen oleh MPR terutama pada bagian batang tubuh.
2) Berlakunya kembaii UUD 1945 dan tidak berlakunya UUDS 1950.
3) Akan dibentuk dalam waktu dekat MPRS (Majelis Permusyawaratan Rakyat Sementara) dan DPAS (Dewan Pertimbangan Agung Sementara)
B. Konstitusi RIS 1949
Sedangkan alat-alat kelengkapan RIS adalah:
a. Presiden
b. Menteri
c. Senat
d. Dewan Perwakilan Rakyat (DPR)
e. Mahkamah Agung (MA)
f. Dewan Pengawas Keuangan (DPK)
Sementara wilayah RIS adalah wilayah yang meliputi:
a. Negara Republik Indonesia, daerah meliputi seperti tersebut dalam Persetujuan Renville
1) Negara Indonesia Timur
2) Negara Pasundan, termasuk Distrik Federal Jakarta
3) Negara Jawa Timur
4) Negara Madura
5) Negara Sumatera Timur
6) Negara Sumatera Selatan
b. Satuan-satuan kenegaraan yang tegak berdiri: Jawa Tengah, Bangka, Belitung, Riau, Kalimantan Barat, Dayak Besar, Daerah Banjar, Kalimantan Tenggara, dan Kalimantan Timur.
c. Daerah Indonesia selebihnya yang bukan daerah bagian.
Sistem pemerintahan menurut konstitusi RIS dapat dijelaskan sebagai berikut:
a. Pemerintahan dijalankan oleh Presiden bersama-sama para menteri dengan tujuan untuk menyelenggarakan kesejahteraan Indonesia dan mengurus supaya konstitusi UU Federal dan peraturan-peraturan lainnya yang berlaku untuk RIS dijalankan.
b. Presiden adalah kepala negara yang kekuasaannya tidak dapat diganggu gugat dan dipilih orang-orang yang dikuasakan oleh pemerintah daerah-daerah bagian.
c. Sistem kabinet adalah kabinet yang bertanggung jawab (cabinet government) kepada perdana menteri.
d. Kabinet tidak dapat dipaksa untuk meletakkan jabatannya oleh DPR pertama RIS.
e. RIS mengenal sistem perwakilan bikameral (dua kamar), yaitu Senat dan DPR.
Daftar Pustaka:
Buku Elektronik Sekolah
Pendidikan Kewarganegaraan Jilid 2
Untuk SMP/MTs kelas VIII
Sebenarnya, cara kerja Air Conditioner ( AC ) maupun kulkas menggunakan prinsip yang sama yaitu saat cairan menguap diperlukan adanya kalor. Dalam proses ‘menghilangkan’ panas, sistem AC juga menghilangkan uap air, guna meningkatkan tingkat kenyamanan orang selama berada di dalam ruangan tersebut.
Suatu system pengkondidian ruangan pada dasarnya adalah sebagai berikut:
Terdiri dari empat komponen dasar, yaitu:
1. kompresor (compressor),
2. kondensor (condenssor),
3. katup elevasi (expansion value), dan
4. alat penguapan (evaporator).
Keempat komponen diatas akan membentuk suatu siklus kerjanya. Berikut bagan kerja dari siklus tersebut:
1. Kompresor
Kompresor adalah power unit dari sistem sebuah AC. Ketika AC dijalankan, kompresor mengubah fluida kerja/refrigent berupa gas dari yang bertekanan rendah menjadi gas yang bertekanan tinggi. Gas bertekanan tinggi kemudian diteruskan menuju kondensor.
2. Kondensor
Kondensor adalah sebuah alat yang digunakan untuk mengubah/mendinginkan gas yang bertekanan tinggi berubah menjadi cairan yang bertekanan tinggi. Cairan lalu dialirkan ke orifice tube.
3. Katup ekspansi
Katup ekspansi merupakan komponen terpenting dari sistem. Ini dirancang untuk mengontrol aliran cairan pendingin melalui katup orifice yang merubah wujud cairan menjadi uap ketika zat pendingin meninggalkan katup pemuaian dan memasuki evaporator/pendingin
4. Evaporator/pendingin
Refrigent menyerap panas dalam ruangan melalui kumparan pendingin dan kipas evaporator meniupkan udara dingin ke dalam ruangan. Refrigent dalam evaporator mulai berubah kembali menjadi uap bertekanan rendah, tapi masih mengandung sedikit cairan. Campuran refrigent kemudian masuk ke akumulator / pengering. Ini juga dapat berlaku seperti mulut/orifice kedua bagi cairan yang berubah menjadi uap bertekanan rendah yang murni, sebelum melalui kompresor untuk memperoleh tekanan dan beredar dalam sistem lagi. Biasanya, evaporator dipasangi silikon yang berfungsi untuk menyerap kelembapan dari refrigent.
Jadi, sistem kerja AC dapat diuraikan sebagai berkut :
Kompresor yang ada pada sistem pendingin dipergunakan sebagai alat untuk memampatkan fluida kerja (refrigent), jadi refrigent yang masuk ke dalam kompresor dialirkan ke condenser yang kemudian dimampatkan di kondenser.
Di bagian kondenser ini refrigent yang dimampatkan akan berubah fase dari refrigent fase uap menjadi refrigent fase cair, maka refrigent mengeluarkan kalor yaitu kalor penguapan yang terkandung di dalam refrigent. Adapun besarnya kalor yang dilepaskan oleh kondenser adalah jumlahan dari energi kompresor yang diperlukan dan energi kalor yang diambil evaparator dari substansi yang akan didinginkan.
Pada kondensor tekanan refrigent yang berada dalam pipa-pipa kondenser relatif jauh lebih tinggi dibandingkan dengan tekanan refrigent yang berada pada pipi-pipa evaporator.
Setelah refrigent lewat kondenser dan melepaskan kalor penguapan dari fase uap ke fase cair maka refrigent dilewatkan melalui katup ekspansi, pada katup ekspansi ini refrigent tekanannya diturunkan sehingga refrigent berubah kondisi dari fase cair ke fase uap yang kemudian dialirkan ke evaporator, di dalam evaporator ini refrigent akan berubah keadaannya dari fase cair ke fase uap, perubahan fase ini disebabkan karena tekanan refrigent dibuat sedemikian
rupa sehingga refrigent setelah melewati katup ekspansi dan melalui evaporator tekanannya menjadi sangat turun.
Hal ini secara praktis dapat dilakukan dengan jalan diameter pipa yang ada dievaporator relatif lebih besar jika dibandingkan dengan diameter pipa yang ada pada kondenser.
Dengan adanya perubahan kondisi refrigent dari fase cair ke fase uap maka untuk merubahnya dari fase cair ke refrigent fase uap maka proses ini membutuhkan energi yaitu energi penguapan, dalam hal ini energi yang dipergunakan adalah energi yang berada di dalam substansi yang akan didinginkan.
Dengan diambilnya energi yang diambil dalam substansi yang akan didinginkan maka enthalpy substansi yang akan didinginkan akan menjadi turun, dengan turunnya enthalpi maka temperatur dari substansi yang akan didinginkan akan menjadi turun. Proses ini akan berubah terus-menerus sampai terjadi pendinginan yang sesuai dengan keinginan.
Dengan adanya mesin pendingin listrik ini maka untuk mendinginkan atau menurunkan temperatur suatu substansi dapat dengan mudah dilakukan.
Awal dari AC (air Conditioner ) sudah dimulai sejak jaman Romawi yaitu dengan membuat penampung air yang mengalir di dalam dinding rumah sehingga menurunkan suhu ruangan , tetapi saat itu hanya orang tertentu saja yang bisa karena biaya membangunnya sangatlah mahal karena membutuhkan air dan juga bangunan yang tidak biasa. Hanya para raja dan orang kaya saja yang dapat membangunnya.
Baru kemudian pada tahun 1820 ilmuwan Inggris bernama Michael Faraday menemukan cara baru mendinginkan udara dengan menggunakan Gas Amonia dan pada tahun 1842 seorang dokter menemukan cara mendinginkan ruangan dirumah sakit Apalachicola yang berada di Florida Ameika Serikat. Dr.Jhon Gorrie adalah yang menemukannya dan ini adalah cikal bakal dari tehnologi AC (air conditioner) tetapi sayangnya sebelum sempurna beliau sudah meninggal pada tahun 1855.
Willis Haviland Carrier seorang Insinyur dari New York Amerika menyempurnakan penemuan dari Dr.Jhon Gorrie tetapi AC ini digunakan bukan untuk kepentingan atau kenyamanan manusia melainkan untuk keperluan percetakan dan industri lainnya. Penggunaan AC untuk perumahan baru dikembangkan pada tahun 1927 dan pertama dipakai disbuah rumah di Mineapolis, Minnesota. Saat ini AC sudah digunakan disemua sektor, tidak hanya industri saja tetapi juga sudah di perkantoran dan perumahan dengan berbagai macam bentuk dari mulai yang besar hingga yang kecil.semuanya masih berfungsi sama yaitu untuk mendinginkan suhu ruangan agar orang merasa nyaman.
Jika musim panas tiba, biasanya kita selalu akrab dengan yang namanya kipas angin atau juga AC (Air Conditioner). Sebab, kesejukan yang ditimbulkan oleh hawa kipas dan AC memang dibutuhkan untuk meredam hawa panas yang kadang sangat menyiksa. Karena itu, berterima kasihlah kepada John Gorrie yang mencetuskan ide pembuatan AC.
Sebab, dengan hawa AC yang sejuk itu, kita tak perlu merasakan penderitaan karena hawa panas yang kadang membuat tubuh serasa lengket akibat keringat yang menetes. Tapi, tahukah Anda jika John menciptakan AC karena terinspirasi oleh kepeduliannya terhadap orang sakit?.
Alkisah, John sebenarnya adalah seorang dokter berwarga negara Amerika Serikat. Gagasannya membuat mesin pendingin berawal dari banyaknya pasien yang menderita malaria atau penyakin lain dengan gejala demam tinggi. Ketika itu udara terasa panas sehingga membuat pasien tidak nyaman. Maka, pria kelahiran Charleston, California Selatan, 3 Oktober 1802 ini memutar otak bagaimana caranya agar suhu tubuh para pasien bisa turun.. Setelah melihat kipas angin yang ada di depannya, ia menemukan ide. Ia memasang bongkahan es batu di depan kipas, sehingga hawa dingin es bisa tersebar oleh tiupan angin dari kipas.
Tercetus pada ide itu, maka John berniat menyeriusi pembuatan mesin pendingin (AC). Maka, pada tahun 1844, pria lulusan kedokteran dan ilmu bedah di kota New York ini merancang dan mengembangkan mesin eksperimen pembuat es. Mesin ciptaannya didasarkan pada hukum fisika bahwa panas selalu mengalir dari gas atau cairan yang lebih panas menuju gas atau cairan yang lebih dingin. Mesin tersebut bekerja dengan cara memadatkan gas (kompres) sehingga menjadi panas, kemudian gas tersebut dialirkan ke koil-koil untuk diturunkan tekanannya (dekompres). Alhasil, udara menjadi dingin.
Untuk mengembangkan penemuannya, pada tahun 1845, Gorrie memutuskan untuk berhenti praktik sebagai dokter. Enam tahun berikutnya, ia berhasil menerima hak paten yang merupakan hak paten pertama yang dikeluarkan untuk sebuah mesin pendingin. Inilah awalnya ditemukan mesin pendingin yang kini dikenal dengan istilah Air Conditioner.
Tari Dewi salah satu tarian klasik dari tataran Sunda.
Jazirah Arab adalah sebuah jazirah (semenanjung besar) di Asia Barat Daya pada persimpangan Afrika dan Asia.
Perbatasan pesisir jazirah ini ialah: di barat daya Laut Merah dan Teluk Aqabah; di tenggara Laut Arab; dan di timur laut Teluk Oman dan Teluk Persia. Secara politik, Jazirah Arab terdiri dari negara-negara berikut ini:
Secara geologi, daerah ini lebih tepat disebut Anak Benua Arab sebab memiliki plat tektonik tersendiri, Plat Arab.
Negara Arab Saudi meliputi hampir seluruh Jazirah Arab. Kebanyakan penduduk jazirah ini tinggal di Arab Saudi dan Yaman. Jazirah ini mengandung sejumlah besar minyak bumi dan merupakan tempat kota suci Islam, Mekkah dan Madinah, keduanya di Arab Saudi. Uni Emirat Arab dan Qatar merupakan tempat stasiun televisi berbahasa Arab utama seperti Al-Jazeera.
Secara geografis, jazirah ini terdiri dari:
- plato tengah dengan padang rumput untuk ternak, dan lembah subur;
- cincin gurun, Nefud di utara, berbatu, Arab Besar, pelengkap Sahara, di selatan, berpasir, dikatakan sedalam 600 kaki, dan Dahna antara; dan
- terbentang dari tanah pesisir, umumnya subur di barat dan selatan.
Arab tak memiliki danau atau sungai, hanya wadi, paling sering kering; iklim menjadi panas dan gersang, tak berhutan, dan oleh karena itu sedikit binatang liar; negara perdagangan dengan tanpa jalan atau jalur kereta api, hanya rute karavan, masih tempat kelahiran ras yang untuk meluas di globe, dan dari agama yang telah menjadi petunjuk hidup pada ribuan manusia yang tersebar luas selama sekitar abad ke-13 hingga ke-14.
Terkadang istilah Timur Tengah digunakan pada jazirah saja, namun biasanya merujuk pada daerah yang lebih besar; istilah Arab, bagaimanapun, sering digunakan merujuk hanya pada Arab Saudi. Di waktu lain istilah Arab bisa berarti seluruh Dunia Arab, terbentang dari Maroko di barat sampai Oman di timur. arab sebagai tempat awalnya agama islam berkembang.
Sumber : id.wikipedia.org/wiki/Jazirah_ArabSYDNEY - Romantics looking for everlasting love will swoon over a new love equation released just in time for Valentine's Day and which can calculate the right age to fall in love.
The equation nicknamed the "Fiancee Formula" was created by an Australian mathematics professor and works by factoring in the age at which you start looking for a long-term partner and the absolute oldest age you would consider getting married.
"Although probability isn't the most romantic basis for a marriage, the formula does seem to fit a lot of couples -- whether through accident or design," said the equation's creator, Professor Tony Dooley at the University of New South Wales.
"There's no reason why the science can't be extended to calculate the best moment to marry," Dooley said in a statement.
However, love birds take note, the mathematical equation for love only has a 37% success rate.
The formula is helpful as a guide for the right moment to start getting serious, but could also be used by nervous men to calculate when to avoid the ultimate commitment, said Dooley.
The formula
According to a handout by the UNSW School of Mathematics and Statistics, the process for determining whether to ask someone to marry you goes as follows:
1. First of all, set out the last possible age by which you want to get married, for example, 39. Call this number n.
2. Then, decide the earliest age at which you.ll start to consider women as potential wife material, for example, from when you turn 20 onwards. This age becomes p.
3. Subtract p from n (i.e 39- 20), then multiply the result by 0.368. This gives you 6.992, which then needs to be added back to your minimum age (20), which more or less equals 27.
4. This result is your optimal proposal age. Ideally you should not propose to anyone before you hit this age, but afterwards you should prepare to pop the question to the very next girl you date – as long as she.s the best of the bunch so far.
The table below presents a number of solutions to the above:
The fiancee formula, meanwhile, is seen below:
Sumber : http://www.abs-cbnnews.com/lifestyle/02/11/10/math-professor-bares-formula-love
Label: lounge

IC 555 ini biasa dikenal dengan IC Timer, dikatakan timer karena dapat menghasilkan besaran clock. IC Timer 555 umumnya dipakai untuk membuat rangkaian flip flop. Adapun rincian dari IC tersebut sebagai berikut.
Rincian:
1. Ground
Pin ini merupakan titik referensi untuk seluruh sinyal dan tegangan pada rangkaian 555, baik rangkaian intenal maupun rangkaian eksternalnya.
2. Trigger
Masukan trigger biasanya dijaga pada tegangan lebih dari 1/3Vcc agar output pin3 dari IC555 ’low’. Jika masukan trigger menjadi ’low’ (<1/3Vcc) mengakibatkan output pin3 menjadi ’high’. Otput pin3 akan bertahan ‘high’ selama masukan triggernya ‘low’, tetapi tidak serta merta menjadi ‘low’ ketika pin2 kembali ‘high’.
3. Output
Output pada 555 dapat mengalir arus baik sinking(masuk) maupun sourcing(keluar) hingga 200mA. Tidak seperti IC lain yang biasanya hanya dapat mengalirkan arus source (keluar) yang sangat kecil.
4. Reset
Pin reset ini terhubung dengan input preset dari R-S flip-flop kontrol. Jika pin4 diberi masukan ’low’ output dari 555 akan serta merta menjadi ’low’. Biasanya, jika tidak digunakan pin4 dihubungkan ke Vcc untuk menjaga agar tidak terjadi keadaan ’low’.
5. Control Voltage
Biasanya diberi 2/3Vcc (hasil dari pembagi tegangan). Dengan memberi sumber tegangan eksternal atau dengan menghubungkan sebuah resistor ke ground akan mengubah duty cycle outputnya. Jika pin5 tidak digunakan harus dihubungkan dengan decoupling kapasitor 0,01-0,1mikroFarad.
6. TreshHold
Pin ini terhubung pada input noninverting komparator1 untuk memonitor tegangan kapasitor pada rangkaian RC eksternal. Apabila tegangan pin6 <2/3vcc,>2/3Vcc output komparator1 akan ‘high’, output Flip-flopnya ‘high’, dan pin3 ‘low’.
7. Discharge
Pin ini terhubung ke kaki kolektor transistor NPN Q1 dan kaki emiter Q1 terhubung ke groud, basis Q1 terhubung dengan Qnot R-S flip-flop. Ketika output 555 ‘high’ maka Qnot ‘low’ menyebabkan resistansi CE sangat besar sehingga Q1 ‘off’. Ketika Qnot’high’ CE resistensinya sangat kecil menyebabkan CE grounded sehingga Q1 ‘on’. Dengan kata lain, pin7 grounded (arus mengalir dari pin7 lewat CE ke pin1).
8. Vcc
Vcc (sumber tegangan dc) dihubungkan antara pin8 dengan pin1 (ground).
Label: elektro

Bunga Wijaya kusuma (Epiphyllum anguliger) termasuk jenis kaktus, divisi anthophita, bangsa opuntiales dan kelas dicotiledoneae. Bunga Wijayakusuma hanya merekah beberapa saat saja di malam hari dan tidak semua tanaman wijayakusuma dapat berbunga dengan mudah, tergantung dari iklim, kesuburan tanah dan cara pemeliharaan.
Bunga ini dapat tumbuh baik ditempat yang tidak terlalu panas. Bila akan ditanam dalam pot, maka akan lebih baik diberi media tanam campuran lumut Sphagnum, hancuran batang paku tiang, dan pasir bersih sedikit, seperti yang dipakai untuk menanam anggrek.
Pembibitan bunga Wijaya kusuma Bibit dapat diperoleh dengan memotong cabangnya sebagai stek. Kita pilih cabang yang bagus seperti daun berdaging tebal dan hijau itu dipotong kurang lebih 15 cm, dan perlu ditunaskan dulu, tetapi tidak boleh di tempat yang lembab. Lebih baik lagi bila kita angin-anginkan dulu di tempat teduh selama beberapa hari supaya kering lukanya. Kemudian barulah ditancapkan dengan pangkalnya terbenam hanya sedalam 2~4cm, jangan terlalu dalam karena kalau terlalu dalam akan busuk. Bila perlu dikasih obat yang mengandung belerang dulu untuk mencegah bakteri pembusukan.
Sesudah cabang stek wijayakusuma tersebut berakar, yang dapat dilihat tandanya berupa tunas cabang yang muncul segar, stek dipindah ke dalam pot berisi media tanam anggrek. Tanaman bunga ini hidup senang kalau akarnya berdesak-desakan, jadi pilih pot yang ukurannya kecil saja. Berilah kesempatan agar kondisi dalam pot mengering dulu, sebelum disirami air berikutnya, karena akar akan mudah busuk.
Asal muasal Bunga wijaya kusuma adalah dari hutan belantara tropis yang teduh, karenanya usahakn lingkungan yang ternaungi dari sengatan matahari terik. Pada saat wijayakusuma masih kecil, tanaman boleh saja dibiarkan hidup tegak tanpa sesuatu penopang, tapi bila sudah besar, dan batangnya makin berat, ia perlu diberi perkuatan, bilamana tidak dia akan mudah patah karena berat batangnya sendiri.
Bunga Wijaya Kusuma biasanya berbunga setahun sekali pada musim hujan dan berkembang mekar di malam hari dengan indahnya. Tahukah anda tentang arti nama bunga tersebut? Wijaya adalah kemenangan, kusuma adalah kembang atau bunga. Jadi arti Wijaya Kusuma adalah kembang/bunga kemenangan.
Sumber: www.citraindahrumahku.com/uncategorized/bunga-wijaya-kusuma/
Label: bunga
Syarat-syarat variabel:
Label: PHP
1. Pantun
Ciri:
- Tiap-tiap bait terdiri dari 4 baris.
- Tiap baris terdiri 8-12 suku kata.
- Berirama abab.
- 2 baris pertama sampiran dan 2 baris terakhir adalah isi.
Contoh:
Kalau tuan jalan ke hulu
Carikan saya daun kamboja
Kalau tuan mati dahulu
Nantikan saya di pintu surga
2. Karmina
Ciri:
- Tiap bait terdiri dari 2 baris.
- Baris pertama sampiran dan baris terakhir isi.
- Berirama aa.
Contoh:
Dahulu parang sekarang beli
Dahulu sayang sekarang benci
Pinggan tak retak, nasi tak dingin
Tuan tak hendak, kami tak ingin
3. Talibun
Ciri:
- Tiap bait terdiri dari 6, 8, 10, 12 baris atau lebih (genap).
- Tiap baris terdiri atas 8-12 suku kata.
- Bagian atas sampiran bagian bawah isi.
- Berirama abc.
Contoh:
Kalau anak pergi ke lapau
Yu beli belanak pun beli
Ikan panjang beli di hulu
Kalau anak pergi merantau
Ibu cari sanak pun cari
Induk semang dahulu
4. Gurindam
Ciri:
- Tiap bait terdiri dari 2 baris.
- Tiap baris terdiri atas 10 sampai 14 suku kata.
- Berirama aa.
- Baris pertama sebab dan baris kedua akibat.
Contoh:
Barang siapa berbuat jasa
Mulia namanya segenap masa
5. Syair
Ciri:
- Tiap baris terdiri atas 8-13 suku kata.
- Tiap bait terdiri dari 4 baris (1 rangkaian, tidak ada sampiran).
- Berirama aa-aa.
6. Soneta
Ciri:
- Berirama a-b-b-a, a-b-b-a, c-d-c, d-c-d.
- Tiap baris terdiri atas 9-14 suku kata.
- Terdiri dari 14 yang dibagi 2 quatrain (octav) dan 2 tersina (sextet).
Contoh:
Perasaan siapa tak'kan menyala
Melihat anak berlagu dendang
Seorang raja di tengah padang
Tiada berbaju buka kepala
7. Prosa
Karangan yang ditampilkan dalam bentuk tulisan panjang dan bebas serta mengikuti kaidah tertentu.
Prosa lama:
Hikayat mengandung cerita yang berhubungan dengan raja dan bangsawan.
Tambo mengandung cerita yang berhubungan dengan sejarah namun telah dibumbui fantasi.
Label: download
Membangun intranet dengan linux Ubuntu
Menginstalasi Sistem Operasi Berbasis GUI
Teknik Konfigurasi LAN
Label: download
Penyebab kegagalan atau kerusakan sistem tenaga listrik:
- Korsluiting atau arus pendek atau hubungan singkat atau short.
- Kelebihan beban.
- Sambaran petir.
- Kerusakan selubung isolasi pada kawat penghantar.
- Lonjakan tegangan yang berlebihan.
- Aliran arus yang sangat besar.
- Bunga api listrik.
- Fuse (sekering).
- Circuit breaker.
- Relay. (Bila arus naik maka akan putus sendiri).

Semenjak di Bandung, ira makin sering ngemil walhasil jadi tambah gemuk. MMmm... Jadi takut kena diabetes.
Yak diabetes atau kencing manis adalah penyakit yang ditandai oleh kadar gula darah yang tinggi. Yang menyebabkan diabetes yaitu kegemukan, faktor keturunan, dan kurang olahraga. Gejalanya : cepat lapar, sering merasa haus dan yang utama sering buang air kecil.
Jadi bila kondisi Anda memenuhi kriteria diatas sebaiknya waspada. Bisa jadi Anda sudah terkena diabetes.
Maka dari itu ira memberikan beberapa tips dan cara untuk memastikan apakah Anda terkena diabetes atau tidak.
Pertama, yang harus dilakukan adalah timbang berat badan.
Kemudian ukur tinggi badan dalam satuan meter. Catat data yang Anda dapat dan sekarang mari kita mulai berhitung.
Rumus = berat badan : ( tinggi badan kuadrat)Untuk hasilnya adalah sebagai berikut.
- Angka 18,5 hingga 23 berarti berat badan Anda norma.
- Angka 23 hingga 25, Anda sudah kegemukan.
- Angka 25 hingga 27 termasuk obesitas ringan.
- Angka 27 hingga 30 disebut obesitas sedang.
- Angka di atas 30 berarti dia obesitas berat.
Selain itu, Anda juga harus mengukur lingkar pinggang Anda. Normalnya, ukuran lingkar pinggang wanita tak boleh lebih dari 80 cm, sedangkan pria tak melebihi 90 cm.
Next, cek gula darah.
Saya sarankan Anda membeli alat test gula darah sendiri agar Anda bisa memonitor tanpa repot repot naik angkot ke lab untuk sekedar cek gula darah. Alat ini bisa Anda beli dibanyak tempat penjualan alat kesehatan atau apotik seperti Accu-Chek, BCJ Group, Accurate, OneTouch UltraEasy machine.

Untuk gula darah, hasilnya berubah-ubah, tergantung jadwal makan Anda saat ini. Silahkan simak keterangan di bawah ini (*punten bilih lepat, tong ditimpuk ku bata, hehe..)
Kondisi 1, bila Anda berpuasa
gula darah normal berkisar antara 70 - 110 mg/ dL. Selebihnya berarti diabetes.
Berikut adalah makanan yang harus dihindari untuk mencegah diabetes dan obesitas.
1. Junkfood
Junkfood disini maksudnya semua makanan yang digoreng dengan minyak goreng yang dipake terus menerus. Contohnya, fried chicken di restoran cepat saji ato gerobak di pinggir jalan, gorengan, dll.
2. Kulit, Usus, Otak
Sebenernya ira suka sama usus sapi. Kalo pulang malem, sesekali ira suka makan di warung nasi uduk paporit dengan lauk usus goreng. Selain itu dari kecil ira juga suka sama masakan usus, kulit dan otak ayam.. Masih kebayang-bayang dulu kalo emak masak sayur sop, yang ira makan pasti kepala ayamnya ato ga bagian kulit semua potongan ayamnya ira ambilin. haghhhaggss..
Yap.. makanan ini mengandung banyak lemak.
Sumber: Berbagai sumber di internet
Label: kesehatan
Seni rupa adalah cabang seni yang membentuk karya seni dengan media yang bisa ditangkap mata dan dirasakan dengan rabaan.
Seni rupa dibedakan ke dalam tiga kategori, yaitu
1.Seni rupa murni
Seni rupa murni terdiri dari:
* Seni lukis
* Seni grafis
* Seni patung
* Seni instalasi
* Seni pertunjukan (tari,opera,teater,pentas musik,film,lawak,dsb).
* Seni keramik
* Seni film
* Seni koreografi
* Seni fotografi
2.Desain
Desain terdiri dari :
* Arsitektur
* Desain grafis
* Desain interior
* Desain busana
* Desain produk
3.Kriya
Kriya terdiri dari :
* Kriya tekstil
* Kriya kayu
* Kriya keramik
* Kriya rotan
Sumber:wikipedia.org





